NULL NULL_X0Y52 |
NULL NULL_X1Y52 |
NULL NULL_X2Y52 |
NULL NULL_X3Y52 |
T_TERM_INT T_TERM_INT_X4Y52 |
T_TERM_INT T_TERM_INT_X5Y52 |
NULL NULL_X6Y52 |
NULL NULL_X7Y52 |
TERM_CMT TERM_CMT_X8Y52 |
NULL NULL_X9Y52 |
NULL NULL_X10Y52 |
T_TERM_INT T_TERM_INT_X11Y52 |
T_TERM_INT T_TERM_INT_X12Y52 |
NULL NULL_X13Y52 |
NULL NULL_X14Y52 |
T_TERM_INT T_TERM_INT_X15Y52 |
T_TERM_INT T_TERM_INT_X16Y52 |
NULL NULL_X17Y52 |
NULL NULL_X18Y52 |
NULL NULL_X19Y52 |
T_TERM_INT T_TERM_INT_X20Y52 |
T_TERM_INT T_TERM_INT_X21Y52 |
NULL NULL_X22Y52 |
NULL NULL_X23Y52 |
T_TERM_INT T_TERM_INT_X24Y52 |
T_TERM_INT T_TERM_INT_X25Y52 |
NULL NULL_X26Y52 |
NULL NULL_X27Y52 |
NULL NULL_X28Y52 |
T_TERM_INT T_TERM_INT_X29Y52 |
T_TERM_INT T_TERM_INT_X30Y52 |
NULL NULL_X31Y52 |
NULL NULL_X32Y52 |
NULL NULL_X33Y52 |
NULL NULL_X34Y52 |
T_TERM_INT T_TERM_INT_X35Y52 |
T_TERM_INT T_TERM_INT_X36Y52 |
NULL NULL_X37Y52 |
NULL NULL_X38Y52 |
NULL NULL_X39Y52 |
NULL NULL_X40Y52 |
NULL NULL_X41Y52 |
NULL NULL_X42Y52 |
NULL NULL_X43Y52 |
NULL NULL_X44Y52 |
NULL NULL_X45Y52 |
NULL NULL_X46Y52 |
NULL NULL_X47Y52 |
NULL NULL_X48Y52 |
NULL NULL_X49Y52 |
NULL NULL_X50Y52 |
NULL NULL_X51Y52 |
NULL NULL_X52Y52 |
T_TERM_INT T_TERM_INT_X53Y52 |
T_TERM_INT T_TERM_INT_X54Y52 |
NULL NULL_X55Y52 |
NULL NULL_X56Y52 |
NULL NULL_X57Y52 |
T_TERM_INT T_TERM_INT_X58Y52 |
T_TERM_INT T_TERM_INT_X59Y52 |
NULL NULL_X60Y52 |
NULL NULL_X61Y52 |
NULL NULL_X62Y52 |
NULL NULL_X63Y52 |
T_TERM_INT T_TERM_INT_X64Y52 |
T_TERM_INT T_TERM_INT_X65Y52 |
NULL NULL_X66Y52 |
NULL NULL_X67Y52 |
T_TERM_INT T_TERM_INT_X68Y52 |
T_TERM_INT T_TERM_INT_X69Y52 |
NULL NULL_X70Y52 |
NULL NULL_X71Y52 |
NULL NULL_X72Y52 |
NULL NULL_X73Y52 |
T_TERM_INT T_TERM_INT_X74Y52 |
T_TERM_INT T_TERM_INT_X75Y52 |
NULL NULL_X76Y52 |
NULL NULL_X77Y52 |
T_TERM_INT T_TERM_INT_X78Y52 |
T_TERM_INT T_TERM_INT_X79Y52 |
NULL NULL_X80Y52 |
NULL NULL_X81Y52 |
TERM_CMT TERM_CMT_X82Y52 |
NULL NULL_X83Y52 |
NULL NULL_X84Y52 |
T_TERM_INT T_TERM_INT_X85Y52 |
T_TERM_INT T_TERM_INT_X86Y52 |
NULL NULL_X87Y52 |
NULL NULL_X88Y52 |
NULL NULL_X89Y52 |
NULL NULL_X90Y52 |
|
LIOB33_SING LIOB33_SING_X0Y49 IOB33 IOB[0,0] IOB_X0Y49 |
LIOI3_SING LIOI3_SING_X0Y49 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y49 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y49 IDELAYE2 IDELAY[0,0] IDELAY_X0Y49 |
L_TERM_INT L_TERM_INT_X2Y51 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y49 |
INT_L INT_L_X0Y49 TIEOFF TIEOFF[0,0] TIEOFF_X0Y49 |
INT_R INT_R_X1Y49 TIEOFF TIEOFF[0,0] TIEOFF_X1Y49 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y49 |
CMT_PMV CMT_PMV_X7Y51 |
CMT_TOP_R_UPPER_T CMT_TOP_R_UPPER_T_X8Y44 PLLE2_ADV PLLE2_ADV[0,0] PLLE2_ADV_X0Y0 |
VBRK VBRK_X9Y51 |
CLBLL_L CLBLL_L_X2Y49 SLICEL SLICE[0,0] SLICE_X0Y49 SLICEL SLICE[1,0] SLICE_X1Y49 |
INT_L INT_L_X2Y49 TIEOFF TIEOFF[0,0] TIEOFF_X2Y49 |
INT_R INT_R_X3Y49 TIEOFF TIEOFF[0,0] TIEOFF_X3Y49 |
CLBLM_R CLBLM_R_X3Y49 SLICEM SLICE[0,0] SLICE_X2Y49 SLICEL SLICE[1,0] SLICE_X3Y49 |
CLBLL_L CLBLL_L_X4Y49 SLICEL SLICE[0,0] SLICE_X4Y49 SLICEL SLICE[1,0] SLICE_X5Y49 |
INT_L INT_L_X4Y49 TIEOFF TIEOFF[0,0] TIEOFF_X4Y49 |
INT_R INT_R_X5Y49 TIEOFF TIEOFF[0,0] TIEOFF_X5Y49 |
CLBLM_R CLBLM_R_X5Y49 SLICEM SLICE[0,0] SLICE_X6Y49 SLICEL SLICE[1,0] SLICE_X7Y49 |
VBRK VBRK_X18Y51 |
CLBLL_L CLBLL_L_X6Y49 SLICEL SLICE[0,0] SLICE_X8Y49 SLICEL SLICE[1,0] SLICE_X9Y49 |
INT_L INT_L_X6Y49 TIEOFF TIEOFF[0,0] TIEOFF_X6Y49 |
INT_R INT_R_X7Y49 TIEOFF TIEOFF[0,0] TIEOFF_X7Y49 |
CLBLM_R CLBLM_R_X7Y49 SLICEM SLICE[0,0] SLICE_X10Y49 SLICEL SLICE[1,0] SLICE_X11Y49 |
CLBLL_L CLBLL_L_X8Y49 SLICEL SLICE[0,0] SLICE_X12Y49 SLICEL SLICE[1,0] SLICE_X13Y49 |
INT_L INT_L_X8Y49 TIEOFF TIEOFF[0,0] TIEOFF_X8Y49 |
INT_R INT_R_X9Y49 TIEOFF TIEOFF[0,0] TIEOFF_X9Y49 |
CLBLM_R CLBLM_R_X9Y49 SLICEM SLICE[0,0] SLICE_X14Y49 SLICEL SLICE[1,0] SLICE_X15Y49 |
VBRK VBRK_X27Y51 |
CLBLL_L CLBLL_L_X10Y49 SLICEL SLICE[0,0] SLICE_X16Y49 SLICEL SLICE[1,0] SLICE_X17Y49 |
INT_L INT_L_X10Y49 TIEOFF TIEOFF[0,0] TIEOFF_X10Y49 |
INT_R INT_R_X11Y49 TIEOFF TIEOFF[0,0] TIEOFF_X11Y49 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y49 |
CLK_BUFG_BOT_R CLK_BUFG_BOT_R_X32Y48 BUFGCTRL BUFGCTRL[0,0] BUFGCTRL_X0Y0 BUFGCTRL BUFGCTRL[0,1] BUFGCTRL_X0Y1 BUFGCTRL BUFGCTRL[0,2] BUFGCTRL_X0Y2 BUFGCTRL BUFGCTRL[0,3] BUFGCTRL_X0Y3 BUFGCTRL BUFGCTRL[0,4] BUFGCTRL_X0Y4 BUFGCTRL BUFGCTRL[0,5] BUFGCTRL_X0Y5 BUFGCTRL BUFGCTRL[0,6] BUFGCTRL_X0Y6 BUFGCTRL BUFGCTRL[0,7] BUFGCTRL_X0Y7 BUFGCTRL BUFGCTRL[0,8] BUFGCTRL_X0Y8 BUFGCTRL BUFGCTRL[0,9] BUFGCTRL_X0Y9 BUFGCTRL BUFGCTRL[0,10] BUFGCTRL_X0Y10 BUFGCTRL BUFGCTRL[0,11] BUFGCTRL_X0Y11 BUFGCTRL BUFGCTRL[0,12] BUFGCTRL_X0Y12 BUFGCTRL BUFGCTRL[0,13] BUFGCTRL_X0Y13 BUFGCTRL BUFGCTRL[0,14] BUFGCTRL_X0Y14 BUFGCTRL BUFGCTRL[0,15] BUFGCTRL_X0Y15 |
VBRK VBRK_X33Y51 |
CLBLM_L CLBLM_L_X12Y49 SLICEM SLICE[0,0] SLICE_X18Y49 SLICEL SLICE[1,0] SLICE_X19Y49 |
INT_L INT_L_X12Y49 TIEOFF TIEOFF[0,0] TIEOFF_X12Y49 |
INT_R INT_R_X13Y49 TIEOFF TIEOFF[0,0] TIEOFF_X13Y49 |
CLBLL_R CLBLL_R_X13Y49 SLICEL SLICE[0,0] SLICE_X20Y49 SLICEL SLICE[1,0] SLICE_X21Y49 |
VBRK VBRK_X38Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y51 |
CFG_CENTER_TOP CFG_CENTER_TOP_X50Y42 DNA_PORT DNA_PORT[0,0] DNA_PORT_X0Y0 EFUSE_USR EFUSE_USR[0,0] EFUSE_USR_X0Y0 |
VFRAME VFRAME_X51Y51 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y49 |
INT_L INT_L_X14Y49 TIEOFF TIEOFF[0,0] TIEOFF_X14Y49 |
INT_R INT_R_X15Y49 TIEOFF TIEOFF[0,0] TIEOFF_X15Y49 |
CLBLM_R CLBLM_R_X15Y49 SLICEM SLICE[0,0] SLICE_X22Y49 SLICEL SLICE[1,0] SLICE_X23Y49 |
VBRK VBRK_X56Y51 |
CLBLM_L CLBLM_L_X16Y49 SLICEM SLICE[0,0] SLICE_X24Y49 SLICEL SLICE[1,0] SLICE_X25Y49 |
INT_L INT_L_X16Y49 TIEOFF TIEOFF[0,0] TIEOFF_X16Y49 |
INT_R INT_R_X17Y49 TIEOFF TIEOFF[0,0] TIEOFF_X17Y49 |
CLBLM_R CLBLM_R_X17Y49 SLICEM SLICE[0,0] SLICE_X26Y49 SLICEL SLICE[1,0] SLICE_X27Y49 |
VBRK VBRK_X61Y51 |
DSP_L DSP_L_X18Y45 TIEOFF TIEOFF[0,0] TIEOFF_X18Y45 DSP48E1 DSP48[0,0] DSP48_X0Y18 DSP48E1 DSP48[0,1] DSP48_X0Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y49 |
INT_L INT_L_X18Y49 TIEOFF TIEOFF[0,0] TIEOFF_X19Y49 |
INT_R INT_R_X19Y49 TIEOFF TIEOFF[0,0] TIEOFF_X20Y49 |
CLBLM_R CLBLM_R_X19Y49 SLICEM SLICE[0,0] SLICE_X28Y49 SLICEL SLICE[1,0] SLICE_X29Y49 |
CLBLM_L CLBLM_L_X20Y49 SLICEM SLICE[0,0] SLICE_X30Y49 SLICEL SLICE[1,0] SLICE_X31Y49 |
INT_L INT_L_X20Y49 TIEOFF TIEOFF[0,0] TIEOFF_X21Y49 |
INT_R INT_R_X21Y49 TIEOFF TIEOFF[0,0] TIEOFF_X22Y49 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y49 |
BRAM_R BRAM_R_X21Y45 FIFO18E1 RAMB18[0,0] RAMB18_X0Y18 RAMB18E1 RAMB18[0,1] RAMB18_X0Y19 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y9 |
VBRK VBRK_X72Y51 |
CLBLL_L CLBLL_L_X22Y49 SLICEL SLICE[0,0] SLICE_X32Y49 SLICEL SLICE[1,0] SLICE_X33Y49 |
INT_L INT_L_X22Y49 TIEOFF TIEOFF[0,0] TIEOFF_X23Y49 |
INT_R INT_R_X23Y49 TIEOFF TIEOFF[0,0] TIEOFF_X24Y49 |
CLBLM_R CLBLM_R_X23Y49 SLICEM SLICE[0,0] SLICE_X34Y49 SLICEL SLICE[1,0] SLICE_X35Y49 |
CLBLL_L CLBLL_L_X24Y49 SLICEL SLICE[0,0] SLICE_X36Y49 SLICEL SLICE[1,0] SLICE_X37Y49 |
INT_L INT_L_X24Y49 TIEOFF TIEOFF[0,0] TIEOFF_X25Y49 |
INT_R INT_R_X25Y49 TIEOFF TIEOFF[0,0] TIEOFF_X26Y49 |
CLBLM_R CLBLM_R_X25Y49 SLICEM SLICE[0,0] SLICE_X38Y49 SLICEL SLICE[1,0] SLICE_X39Y49 |
VBRK VBRK_X81Y51 |
CMT_TOP_L_UPPER_T CMT_TOP_L_UPPER_T_X82Y44 PLLE2_ADV PLLE2_ADV[0,0] PLLE2_ADV_X1Y0 |
CMT_PMV_L CMT_PMV_L_X83Y51 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y49 |
INT_L INT_L_X26Y49 TIEOFF TIEOFF[0,0] TIEOFF_X27Y49 |
INT_R INT_R_X27Y49 TIEOFF TIEOFF[0,0] TIEOFF_X28Y49 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y49 |
R_TERM_INT R_TERM_INT_X88Y51 |
RIOI3_SING RIOI3_SING_X27Y49 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y49 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y49 IDELAYE2 IDELAY[0,0] IDELAY_X1Y49 |
RIOB33_SING RIOB33_SING_X27Y49 IOB33 IOB[0,0] IOB_X1Y49 |
|
LIOB33 LIOB33_X0Y47 IOB33S IOB[0,0] IOB_X0Y47 IOB33M IOB[0,1] IOB_X0Y48 |
LIOI3 LIOI3_X0Y47 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y47 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y48 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y47 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y48 IDELAYE2 IDELAY[0,0] IDELAY_X0Y47 IDELAYE2 IDELAY[0,1] IDELAY_X0Y48 |
L_TERM_INT L_TERM_INT_X2Y50 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y48 |
INT_L INT_L_X0Y48 TIEOFF TIEOFF[0,0] TIEOFF_X0Y48 |
INT_R INT_R_X1Y48 TIEOFF TIEOFF[0,0] TIEOFF_X1Y48 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y48 |
CMT_FIFO_R CMT_FIFO_R_X7Y45 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y3 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y3 |
VBRK VBRK_X9Y50 |
CLBLL_L CLBLL_L_X2Y48 SLICEL SLICE[0,0] SLICE_X0Y48 SLICEL SLICE[1,0] SLICE_X1Y48 |
INT_L INT_L_X2Y48 TIEOFF TIEOFF[0,0] TIEOFF_X2Y48 |
INT_R INT_R_X3Y48 TIEOFF TIEOFF[0,0] TIEOFF_X3Y48 |
CLBLM_R CLBLM_R_X3Y48 SLICEM SLICE[0,0] SLICE_X2Y48 SLICEL SLICE[1,0] SLICE_X3Y48 |
CLBLL_L CLBLL_L_X4Y48 SLICEL SLICE[0,0] SLICE_X4Y48 SLICEL SLICE[1,0] SLICE_X5Y48 |
INT_L INT_L_X4Y48 TIEOFF TIEOFF[0,0] TIEOFF_X4Y48 |
INT_R INT_R_X5Y48 TIEOFF TIEOFF[0,0] TIEOFF_X5Y48 |
CLBLM_R CLBLM_R_X5Y48 SLICEM SLICE[0,0] SLICE_X6Y48 SLICEL SLICE[1,0] SLICE_X7Y48 |
VBRK VBRK_X18Y50 |
CLBLL_L CLBLL_L_X6Y48 SLICEL SLICE[0,0] SLICE_X8Y48 SLICEL SLICE[1,0] SLICE_X9Y48 |
INT_L INT_L_X6Y48 TIEOFF TIEOFF[0,0] TIEOFF_X6Y48 |
INT_R INT_R_X7Y48 TIEOFF TIEOFF[0,0] TIEOFF_X7Y48 |
CLBLM_R CLBLM_R_X7Y48 SLICEM SLICE[0,0] SLICE_X10Y48 SLICEL SLICE[1,0] SLICE_X11Y48 |
CLBLL_L CLBLL_L_X8Y48 SLICEL SLICE[0,0] SLICE_X12Y48 SLICEL SLICE[1,0] SLICE_X13Y48 |
INT_L INT_L_X8Y48 TIEOFF TIEOFF[0,0] TIEOFF_X8Y48 |
INT_R INT_R_X9Y48 TIEOFF TIEOFF[0,0] TIEOFF_X9Y48 |
CLBLM_R CLBLM_R_X9Y48 SLICEM SLICE[0,0] SLICE_X14Y48 SLICEL SLICE[1,0] SLICE_X15Y48 |
VBRK VBRK_X27Y50 |
CLBLL_L CLBLL_L_X10Y48 SLICEL SLICE[0,0] SLICE_X16Y48 SLICEL SLICE[1,0] SLICE_X17Y48 |
INT_L INT_L_X10Y48 TIEOFF TIEOFF[0,0] TIEOFF_X10Y48 |
INT_R INT_R_X11Y48 TIEOFF TIEOFF[0,0] TIEOFF_X11Y48 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y48 |
VBRK VBRK_X33Y50 |
CLBLM_L CLBLM_L_X12Y48 SLICEM SLICE[0,0] SLICE_X18Y48 SLICEL SLICE[1,0] SLICE_X19Y48 |
INT_L INT_L_X12Y48 TIEOFF TIEOFF[0,0] TIEOFF_X12Y48 |
INT_R INT_R_X13Y48 TIEOFF TIEOFF[0,0] TIEOFF_X13Y48 |
CLBLL_R CLBLL_R_X13Y48 SLICEL SLICE[0,0] SLICE_X20Y48 SLICEL SLICE[1,0] SLICE_X21Y48 |
VBRK VBRK_X38Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y50 |
VFRAME VFRAME_X51Y50 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y48 |
INT_L INT_L_X14Y48 TIEOFF TIEOFF[0,0] TIEOFF_X14Y48 |
INT_R INT_R_X15Y48 TIEOFF TIEOFF[0,0] TIEOFF_X15Y48 |
CLBLM_R CLBLM_R_X15Y48 SLICEM SLICE[0,0] SLICE_X22Y48 SLICEL SLICE[1,0] SLICE_X23Y48 |
VBRK VBRK_X56Y50 |
CLBLM_L CLBLM_L_X16Y48 SLICEM SLICE[0,0] SLICE_X24Y48 SLICEL SLICE[1,0] SLICE_X25Y48 |
INT_L INT_L_X16Y48 TIEOFF TIEOFF[0,0] TIEOFF_X16Y48 |
INT_R INT_R_X17Y48 TIEOFF TIEOFF[0,0] TIEOFF_X17Y48 |
CLBLM_R CLBLM_R_X17Y48 SLICEM SLICE[0,0] SLICE_X26Y48 SLICEL SLICE[1,0] SLICE_X27Y48 |
VBRK VBRK_X61Y50 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y48 |
INT_L INT_L_X18Y48 TIEOFF TIEOFF[0,0] TIEOFF_X19Y48 |
INT_R INT_R_X19Y48 TIEOFF TIEOFF[0,0] TIEOFF_X20Y48 |
CLBLM_R CLBLM_R_X19Y48 SLICEM SLICE[0,0] SLICE_X28Y48 SLICEL SLICE[1,0] SLICE_X29Y48 |
CLBLM_L CLBLM_L_X20Y48 SLICEM SLICE[0,0] SLICE_X30Y48 SLICEL SLICE[1,0] SLICE_X31Y48 |
INT_L INT_L_X20Y48 TIEOFF TIEOFF[0,0] TIEOFF_X21Y48 |
INT_R INT_R_X21Y48 TIEOFF TIEOFF[0,0] TIEOFF_X22Y48 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y48 |
VBRK VBRK_X72Y50 |
CLBLL_L CLBLL_L_X22Y48 SLICEL SLICE[0,0] SLICE_X32Y48 SLICEL SLICE[1,0] SLICE_X33Y48 |
INT_L INT_L_X22Y48 TIEOFF TIEOFF[0,0] TIEOFF_X23Y48 |
INT_R INT_R_X23Y48 TIEOFF TIEOFF[0,0] TIEOFF_X24Y48 |
CLBLM_R CLBLM_R_X23Y48 SLICEM SLICE[0,0] SLICE_X34Y48 SLICEL SLICE[1,0] SLICE_X35Y48 |
CLBLL_L CLBLL_L_X24Y48 SLICEL SLICE[0,0] SLICE_X36Y48 SLICEL SLICE[1,0] SLICE_X37Y48 |
INT_L INT_L_X24Y48 TIEOFF TIEOFF[0,0] TIEOFF_X25Y48 |
INT_R INT_R_X25Y48 TIEOFF TIEOFF[0,0] TIEOFF_X26Y48 |
CLBLM_R CLBLM_R_X25Y48 SLICEM SLICE[0,0] SLICE_X38Y48 SLICEL SLICE[1,0] SLICE_X39Y48 |
VBRK VBRK_X81Y50 |
CMT_FIFO_L CMT_FIFO_L_X83Y45 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X1Y3 IN_FIFO IN_FIFO[0,0] IN_FIFO_X1Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y48 |
INT_L INT_L_X26Y48 TIEOFF TIEOFF[0,0] TIEOFF_X27Y48 |
INT_R INT_R_X27Y48 TIEOFF TIEOFF[0,0] TIEOFF_X28Y48 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y48 |
R_TERM_INT R_TERM_INT_X88Y50 |
RIOI3 RIOI3_X27Y47 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y47 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y48 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y47 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y48 IDELAYE2 IDELAY[0,0] IDELAY_X1Y47 IDELAYE2 IDELAY[0,1] IDELAY_X1Y48 |
RIOB33 RIOB33_X27Y47 IOB33S IOB[0,0] IOB_X1Y47 IOB33M IOB[0,1] IOB_X1Y48 |
|||||||
L_TERM_INT L_TERM_INT_X2Y49 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y47 |
INT_L INT_L_X0Y47 TIEOFF TIEOFF[0,0] TIEOFF_X0Y47 |
INT_R INT_R_X1Y47 TIEOFF TIEOFF[0,0] TIEOFF_X1Y47 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y47 |
VBRK VBRK_X9Y49 |
CLBLL_L CLBLL_L_X2Y47 SLICEL SLICE[0,0] SLICE_X0Y47 SLICEL SLICE[1,0] SLICE_X1Y47 |
INT_L INT_L_X2Y47 TIEOFF TIEOFF[0,0] TIEOFF_X2Y47 |
INT_R INT_R_X3Y47 TIEOFF TIEOFF[0,0] TIEOFF_X3Y47 |
CLBLM_R CLBLM_R_X3Y47 SLICEM SLICE[0,0] SLICE_X2Y47 SLICEL SLICE[1,0] SLICE_X3Y47 |
CLBLL_L CLBLL_L_X4Y47 SLICEL SLICE[0,0] SLICE_X4Y47 SLICEL SLICE[1,0] SLICE_X5Y47 |
INT_L INT_L_X4Y47 TIEOFF TIEOFF[0,0] TIEOFF_X4Y47 |
INT_R INT_R_X5Y47 TIEOFF TIEOFF[0,0] TIEOFF_X5Y47 |
CLBLM_R CLBLM_R_X5Y47 SLICEM SLICE[0,0] SLICE_X6Y47 SLICEL SLICE[1,0] SLICE_X7Y47 |
VBRK VBRK_X18Y49 |
CLBLL_L CLBLL_L_X6Y47 SLICEL SLICE[0,0] SLICE_X8Y47 SLICEL SLICE[1,0] SLICE_X9Y47 |
INT_L INT_L_X6Y47 TIEOFF TIEOFF[0,0] TIEOFF_X6Y47 |
INT_R INT_R_X7Y47 TIEOFF TIEOFF[0,0] TIEOFF_X7Y47 |
CLBLM_R CLBLM_R_X7Y47 SLICEM SLICE[0,0] SLICE_X10Y47 SLICEL SLICE[1,0] SLICE_X11Y47 |
CLBLL_L CLBLL_L_X8Y47 SLICEL SLICE[0,0] SLICE_X12Y47 SLICEL SLICE[1,0] SLICE_X13Y47 |
INT_L INT_L_X8Y47 TIEOFF TIEOFF[0,0] TIEOFF_X8Y47 |
INT_R INT_R_X9Y47 TIEOFF TIEOFF[0,0] TIEOFF_X9Y47 |
CLBLM_R CLBLM_R_X9Y47 SLICEM SLICE[0,0] SLICE_X14Y47 SLICEL SLICE[1,0] SLICE_X15Y47 |
VBRK VBRK_X27Y49 |
CLBLL_L CLBLL_L_X10Y47 SLICEL SLICE[0,0] SLICE_X16Y47 SLICEL SLICE[1,0] SLICE_X17Y47 |
INT_L INT_L_X10Y47 TIEOFF TIEOFF[0,0] TIEOFF_X10Y47 |
INT_R INT_R_X11Y47 TIEOFF TIEOFF[0,0] TIEOFF_X11Y47 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y47 |
VBRK VBRK_X33Y49 |
CLBLM_L CLBLM_L_X12Y47 SLICEM SLICE[0,0] SLICE_X18Y47 SLICEL SLICE[1,0] SLICE_X19Y47 |
INT_L INT_L_X12Y47 TIEOFF TIEOFF[0,0] TIEOFF_X12Y47 |
INT_R INT_R_X13Y47 TIEOFF TIEOFF[0,0] TIEOFF_X13Y47 |
CLBLL_R CLBLL_R_X13Y47 SLICEL SLICE[0,0] SLICE_X20Y47 SLICEL SLICE[1,0] SLICE_X21Y47 |
VBRK VBRK_X38Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y49 |
VFRAME VFRAME_X51Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y47 |
INT_L INT_L_X14Y47 TIEOFF TIEOFF[0,0] TIEOFF_X14Y47 |
INT_R INT_R_X15Y47 TIEOFF TIEOFF[0,0] TIEOFF_X15Y47 |
CLBLM_R CLBLM_R_X15Y47 SLICEM SLICE[0,0] SLICE_X22Y47 SLICEL SLICE[1,0] SLICE_X23Y47 |
VBRK VBRK_X56Y49 |
CLBLM_L CLBLM_L_X16Y47 SLICEM SLICE[0,0] SLICE_X24Y47 SLICEL SLICE[1,0] SLICE_X25Y47 |
INT_L INT_L_X16Y47 TIEOFF TIEOFF[0,0] TIEOFF_X16Y47 |
INT_R INT_R_X17Y47 TIEOFF TIEOFF[0,0] TIEOFF_X17Y47 |
CLBLM_R CLBLM_R_X17Y47 SLICEM SLICE[0,0] SLICE_X26Y47 SLICEL SLICE[1,0] SLICE_X27Y47 |
VBRK VBRK_X61Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y47 |
INT_L INT_L_X18Y47 TIEOFF TIEOFF[0,0] TIEOFF_X19Y47 |
INT_R INT_R_X19Y47 TIEOFF TIEOFF[0,0] TIEOFF_X20Y47 |
CLBLM_R CLBLM_R_X19Y47 SLICEM SLICE[0,0] SLICE_X28Y47 SLICEL SLICE[1,0] SLICE_X29Y47 |
CLBLM_L CLBLM_L_X20Y47 SLICEM SLICE[0,0] SLICE_X30Y47 SLICEL SLICE[1,0] SLICE_X31Y47 |
INT_L INT_L_X20Y47 TIEOFF TIEOFF[0,0] TIEOFF_X21Y47 |
INT_R INT_R_X21Y47 TIEOFF TIEOFF[0,0] TIEOFF_X22Y47 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y47 |
VBRK VBRK_X72Y49 |
CLBLL_L CLBLL_L_X22Y47 SLICEL SLICE[0,0] SLICE_X32Y47 SLICEL SLICE[1,0] SLICE_X33Y47 |
INT_L INT_L_X22Y47 TIEOFF TIEOFF[0,0] TIEOFF_X23Y47 |
INT_R INT_R_X23Y47 TIEOFF TIEOFF[0,0] TIEOFF_X24Y47 |
CLBLM_R CLBLM_R_X23Y47 SLICEM SLICE[0,0] SLICE_X34Y47 SLICEL SLICE[1,0] SLICE_X35Y47 |
CLBLL_L CLBLL_L_X24Y47 SLICEL SLICE[0,0] SLICE_X36Y47 SLICEL SLICE[1,0] SLICE_X37Y47 |
INT_L INT_L_X24Y47 TIEOFF TIEOFF[0,0] TIEOFF_X25Y47 |
INT_R INT_R_X25Y47 TIEOFF TIEOFF[0,0] TIEOFF_X26Y47 |
CLBLM_R CLBLM_R_X25Y47 SLICEM SLICE[0,0] SLICE_X38Y47 SLICEL SLICE[1,0] SLICE_X39Y47 |
VBRK VBRK_X81Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y47 |
INT_L INT_L_X26Y47 TIEOFF TIEOFF[0,0] TIEOFF_X27Y47 |
INT_R INT_R_X27Y47 TIEOFF TIEOFF[0,0] TIEOFF_X28Y47 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y47 |
R_TERM_INT R_TERM_INT_X88Y49 |
|||||||||||||
LIOB33 LIOB33_X0Y45 IOB33S IOB[0,0] IOB_X0Y45 IOB33M IOB[0,1] IOB_X0Y46 |
LIOI3 LIOI3_X0Y45 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y45 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y46 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y45 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y46 IDELAYE2 IDELAY[0,0] IDELAY_X0Y45 IDELAYE2 IDELAY[0,1] IDELAY_X0Y46 |
L_TERM_INT L_TERM_INT_X2Y48 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y46 |
INT_L INT_L_X0Y46 TIEOFF TIEOFF[0,0] TIEOFF_X0Y46 |
INT_R INT_R_X1Y46 TIEOFF TIEOFF[0,0] TIEOFF_X1Y46 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y46 |
VBRK VBRK_X9Y48 |
CLBLL_L CLBLL_L_X2Y46 SLICEL SLICE[0,0] SLICE_X0Y46 SLICEL SLICE[1,0] SLICE_X1Y46 |
INT_L INT_L_X2Y46 TIEOFF TIEOFF[0,0] TIEOFF_X2Y46 |
INT_R INT_R_X3Y46 TIEOFF TIEOFF[0,0] TIEOFF_X3Y46 |
CLBLM_R CLBLM_R_X3Y46 SLICEM SLICE[0,0] SLICE_X2Y46 SLICEL SLICE[1,0] SLICE_X3Y46 |
CLBLL_L CLBLL_L_X4Y46 SLICEL SLICE[0,0] SLICE_X4Y46 SLICEL SLICE[1,0] SLICE_X5Y46 |
INT_L INT_L_X4Y46 TIEOFF TIEOFF[0,0] TIEOFF_X4Y46 |
INT_R INT_R_X5Y46 TIEOFF TIEOFF[0,0] TIEOFF_X5Y46 |
CLBLM_R CLBLM_R_X5Y46 SLICEM SLICE[0,0] SLICE_X6Y46 SLICEL SLICE[1,0] SLICE_X7Y46 |
VBRK VBRK_X18Y48 |
CLBLL_L CLBLL_L_X6Y46 SLICEL SLICE[0,0] SLICE_X8Y46 SLICEL SLICE[1,0] SLICE_X9Y46 |
INT_L INT_L_X6Y46 TIEOFF TIEOFF[0,0] TIEOFF_X6Y46 |
INT_R INT_R_X7Y46 TIEOFF TIEOFF[0,0] TIEOFF_X7Y46 |
CLBLM_R CLBLM_R_X7Y46 SLICEM SLICE[0,0] SLICE_X10Y46 SLICEL SLICE[1,0] SLICE_X11Y46 |
CLBLL_L CLBLL_L_X8Y46 SLICEL SLICE[0,0] SLICE_X12Y46 SLICEL SLICE[1,0] SLICE_X13Y46 |
INT_L INT_L_X8Y46 TIEOFF TIEOFF[0,0] TIEOFF_X8Y46 |
INT_R INT_R_X9Y46 TIEOFF TIEOFF[0,0] TIEOFF_X9Y46 |
CLBLM_R CLBLM_R_X9Y46 SLICEM SLICE[0,0] SLICE_X14Y46 SLICEL SLICE[1,0] SLICE_X15Y46 |
VBRK VBRK_X27Y48 |
CLBLL_L CLBLL_L_X10Y46 SLICEL SLICE[0,0] SLICE_X16Y46 SLICEL SLICE[1,0] SLICE_X17Y46 |
INT_L INT_L_X10Y46 TIEOFF TIEOFF[0,0] TIEOFF_X10Y46 |
INT_R INT_R_X11Y46 TIEOFF TIEOFF[0,0] TIEOFF_X11Y46 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y46 |
VBRK VBRK_X33Y48 |
CLBLM_L CLBLM_L_X12Y46 SLICEM SLICE[0,0] SLICE_X18Y46 SLICEL SLICE[1,0] SLICE_X19Y46 |
INT_L INT_L_X12Y46 TIEOFF TIEOFF[0,0] TIEOFF_X12Y46 |
INT_R INT_R_X13Y46 TIEOFF TIEOFF[0,0] TIEOFF_X13Y46 |
CLBLL_R CLBLL_R_X13Y46 SLICEL SLICE[0,0] SLICE_X20Y46 SLICEL SLICE[1,0] SLICE_X21Y46 |
VBRK VBRK_X38Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y48 |
VFRAME VFRAME_X51Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y46 |
INT_L INT_L_X14Y46 TIEOFF TIEOFF[0,0] TIEOFF_X14Y46 |
INT_R INT_R_X15Y46 TIEOFF TIEOFF[0,0] TIEOFF_X15Y46 |
CLBLM_R CLBLM_R_X15Y46 SLICEM SLICE[0,0] SLICE_X22Y46 SLICEL SLICE[1,0] SLICE_X23Y46 |
VBRK VBRK_X56Y48 |
CLBLM_L CLBLM_L_X16Y46 SLICEM SLICE[0,0] SLICE_X24Y46 SLICEL SLICE[1,0] SLICE_X25Y46 |
INT_L INT_L_X16Y46 TIEOFF TIEOFF[0,0] TIEOFF_X16Y46 |
INT_R INT_R_X17Y46 TIEOFF TIEOFF[0,0] TIEOFF_X17Y46 |
CLBLM_R CLBLM_R_X17Y46 SLICEM SLICE[0,0] SLICE_X26Y46 SLICEL SLICE[1,0] SLICE_X27Y46 |
VBRK VBRK_X61Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y46 |
INT_L INT_L_X18Y46 TIEOFF TIEOFF[0,0] TIEOFF_X19Y46 |
INT_R INT_R_X19Y46 TIEOFF TIEOFF[0,0] TIEOFF_X20Y46 |
CLBLM_R CLBLM_R_X19Y46 SLICEM SLICE[0,0] SLICE_X28Y46 SLICEL SLICE[1,0] SLICE_X29Y46 |
CLBLM_L CLBLM_L_X20Y46 SLICEM SLICE[0,0] SLICE_X30Y46 SLICEL SLICE[1,0] SLICE_X31Y46 |
INT_L INT_L_X20Y46 TIEOFF TIEOFF[0,0] TIEOFF_X21Y46 |
INT_R INT_R_X21Y46 TIEOFF TIEOFF[0,0] TIEOFF_X22Y46 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y46 |
VBRK VBRK_X72Y48 |
CLBLL_L CLBLL_L_X22Y46 SLICEL SLICE[0,0] SLICE_X32Y46 SLICEL SLICE[1,0] SLICE_X33Y46 |
INT_L INT_L_X22Y46 TIEOFF TIEOFF[0,0] TIEOFF_X23Y46 |
INT_R INT_R_X23Y46 TIEOFF TIEOFF[0,0] TIEOFF_X24Y46 |
CLBLM_R CLBLM_R_X23Y46 SLICEM SLICE[0,0] SLICE_X34Y46 SLICEL SLICE[1,0] SLICE_X35Y46 |
CLBLL_L CLBLL_L_X24Y46 SLICEL SLICE[0,0] SLICE_X36Y46 SLICEL SLICE[1,0] SLICE_X37Y46 |
INT_L INT_L_X24Y46 TIEOFF TIEOFF[0,0] TIEOFF_X25Y46 |
INT_R INT_R_X25Y46 TIEOFF TIEOFF[0,0] TIEOFF_X26Y46 |
CLBLM_R CLBLM_R_X25Y46 SLICEM SLICE[0,0] SLICE_X38Y46 SLICEL SLICE[1,0] SLICE_X39Y46 |
VBRK VBRK_X81Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y46 |
INT_L INT_L_X26Y46 TIEOFF TIEOFF[0,0] TIEOFF_X27Y46 |
INT_R INT_R_X27Y46 TIEOFF TIEOFF[0,0] TIEOFF_X28Y46 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y46 |
R_TERM_INT R_TERM_INT_X88Y48 |
RIOI3 RIOI3_X27Y45 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y45 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y46 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y45 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y46 IDELAYE2 IDELAY[0,0] IDELAY_X1Y45 IDELAYE2 IDELAY[0,1] IDELAY_X1Y46 |
RIOB33 RIOB33_X27Y45 IOB33S IOB[0,0] IOB_X1Y45 IOB33M IOB[0,1] IOB_X1Y46 |
|||||||||
L_TERM_INT L_TERM_INT_X2Y47 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y45 |
INT_L INT_L_X0Y45 TIEOFF TIEOFF[0,0] TIEOFF_X0Y45 |
INT_R INT_R_X1Y45 TIEOFF TIEOFF[0,0] TIEOFF_X1Y45 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y45 |
VBRK VBRK_X9Y47 |
CLBLL_L CLBLL_L_X2Y45 SLICEL SLICE[0,0] SLICE_X0Y45 SLICEL SLICE[1,0] SLICE_X1Y45 |
INT_L INT_L_X2Y45 TIEOFF TIEOFF[0,0] TIEOFF_X2Y45 |
INT_R INT_R_X3Y45 TIEOFF TIEOFF[0,0] TIEOFF_X3Y45 |
CLBLM_R CLBLM_R_X3Y45 SLICEM SLICE[0,0] SLICE_X2Y45 SLICEL SLICE[1,0] SLICE_X3Y45 |
CLBLL_L CLBLL_L_X4Y45 SLICEL SLICE[0,0] SLICE_X4Y45 SLICEL SLICE[1,0] SLICE_X5Y45 |
INT_L INT_L_X4Y45 TIEOFF TIEOFF[0,0] TIEOFF_X4Y45 |
INT_R INT_R_X5Y45 TIEOFF TIEOFF[0,0] TIEOFF_X5Y45 |
CLBLM_R CLBLM_R_X5Y45 SLICEM SLICE[0,0] SLICE_X6Y45 SLICEL SLICE[1,0] SLICE_X7Y45 |
VBRK VBRK_X18Y47 |
CLBLL_L CLBLL_L_X6Y45 SLICEL SLICE[0,0] SLICE_X8Y45 SLICEL SLICE[1,0] SLICE_X9Y45 |
INT_L INT_L_X6Y45 TIEOFF TIEOFF[0,0] TIEOFF_X6Y45 |
INT_R INT_R_X7Y45 TIEOFF TIEOFF[0,0] TIEOFF_X7Y45 |
CLBLM_R CLBLM_R_X7Y45 SLICEM SLICE[0,0] SLICE_X10Y45 SLICEL SLICE[1,0] SLICE_X11Y45 |
CLBLL_L CLBLL_L_X8Y45 SLICEL SLICE[0,0] SLICE_X12Y45 SLICEL SLICE[1,0] SLICE_X13Y45 |
INT_L INT_L_X8Y45 TIEOFF TIEOFF[0,0] TIEOFF_X8Y45 |
INT_R INT_R_X9Y45 TIEOFF TIEOFF[0,0] TIEOFF_X9Y45 |
CLBLM_R CLBLM_R_X9Y45 SLICEM SLICE[0,0] SLICE_X14Y45 SLICEL SLICE[1,0] SLICE_X15Y45 |
VBRK VBRK_X27Y47 |
CLBLL_L CLBLL_L_X10Y45 SLICEL SLICE[0,0] SLICE_X16Y45 SLICEL SLICE[1,0] SLICE_X17Y45 |
INT_L INT_L_X10Y45 TIEOFF TIEOFF[0,0] TIEOFF_X10Y45 |
INT_R INT_R_X11Y45 TIEOFF TIEOFF[0,0] TIEOFF_X11Y45 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y45 |
CLK_MTBF2 CLK_MTBF2_X32Y47 MTBF2 MTBF2[0,0] MTBF2_X0Y0 |
VBRK VBRK_X33Y47 |
CLBLM_L CLBLM_L_X12Y45 SLICEM SLICE[0,0] SLICE_X18Y45 SLICEL SLICE[1,0] SLICE_X19Y45 |
INT_L INT_L_X12Y45 TIEOFF TIEOFF[0,0] TIEOFF_X12Y45 |
INT_R INT_R_X13Y45 TIEOFF TIEOFF[0,0] TIEOFF_X13Y45 |
CLBLL_R CLBLL_R_X13Y45 SLICEL SLICE[0,0] SLICE_X20Y45 SLICEL SLICE[1,0] SLICE_X21Y45 |
VBRK VBRK_X38Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y47 |
VFRAME VFRAME_X51Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y45 |
INT_L INT_L_X14Y45 TIEOFF TIEOFF[0,0] TIEOFF_X14Y45 |
INT_R INT_R_X15Y45 TIEOFF TIEOFF[0,0] TIEOFF_X15Y45 |
CLBLM_R CLBLM_R_X15Y45 SLICEM SLICE[0,0] SLICE_X22Y45 SLICEL SLICE[1,0] SLICE_X23Y45 |
VBRK VBRK_X56Y47 |
CLBLM_L CLBLM_L_X16Y45 SLICEM SLICE[0,0] SLICE_X24Y45 SLICEL SLICE[1,0] SLICE_X25Y45 |
INT_L INT_L_X16Y45 TIEOFF TIEOFF[0,0] TIEOFF_X16Y45 |
INT_R INT_R_X17Y45 TIEOFF TIEOFF[0,0] TIEOFF_X17Y45 |
CLBLM_R CLBLM_R_X17Y45 SLICEM SLICE[0,0] SLICE_X26Y45 SLICEL SLICE[1,0] SLICE_X27Y45 |
VBRK VBRK_X61Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y45 |
INT_L INT_L_X18Y45 TIEOFF TIEOFF[0,0] TIEOFF_X19Y45 |
INT_R INT_R_X19Y45 TIEOFF TIEOFF[0,0] TIEOFF_X20Y45 |
CLBLM_R CLBLM_R_X19Y45 SLICEM SLICE[0,0] SLICE_X28Y45 SLICEL SLICE[1,0] SLICE_X29Y45 |
CLBLM_L CLBLM_L_X20Y45 SLICEM SLICE[0,0] SLICE_X30Y45 SLICEL SLICE[1,0] SLICE_X31Y45 |
INT_L INT_L_X20Y45 TIEOFF TIEOFF[0,0] TIEOFF_X21Y45 |
INT_R INT_R_X21Y45 TIEOFF TIEOFF[0,0] TIEOFF_X22Y45 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y45 |
VBRK VBRK_X72Y47 |
CLBLL_L CLBLL_L_X22Y45 SLICEL SLICE[0,0] SLICE_X32Y45 SLICEL SLICE[1,0] SLICE_X33Y45 |
INT_L INT_L_X22Y45 TIEOFF TIEOFF[0,0] TIEOFF_X23Y45 |
INT_R INT_R_X23Y45 TIEOFF TIEOFF[0,0] TIEOFF_X24Y45 |
CLBLM_R CLBLM_R_X23Y45 SLICEM SLICE[0,0] SLICE_X34Y45 SLICEL SLICE[1,0] SLICE_X35Y45 |
CLBLL_L CLBLL_L_X24Y45 SLICEL SLICE[0,0] SLICE_X36Y45 SLICEL SLICE[1,0] SLICE_X37Y45 |
INT_L INT_L_X24Y45 TIEOFF TIEOFF[0,0] TIEOFF_X25Y45 |
INT_R INT_R_X25Y45 TIEOFF TIEOFF[0,0] TIEOFF_X26Y45 |
CLBLM_R CLBLM_R_X25Y45 SLICEM SLICE[0,0] SLICE_X38Y45 SLICEL SLICE[1,0] SLICE_X39Y45 |
VBRK VBRK_X81Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y45 |
INT_L INT_L_X26Y45 TIEOFF TIEOFF[0,0] TIEOFF_X27Y45 |
INT_R INT_R_X27Y45 TIEOFF TIEOFF[0,0] TIEOFF_X28Y45 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y45 |
R_TERM_INT R_TERM_INT_X88Y47 |
||||||||||||
LIOB33 LIOB33_X0Y43 IOB33S IOB[0,0] IOB_X0Y43 IOB33M IOB[0,1] IOB_X0Y44 |
LIOI3_TBYTESRC LIOI3_TBYTESRC_X0Y43 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y43 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y44 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y43 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y44 IDELAYE2 IDELAY[0,0] IDELAY_X0Y43 IDELAYE2 IDELAY[0,1] IDELAY_X0Y44 |
L_TERM_INT L_TERM_INT_X2Y46 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y44 |
INT_L INT_L_X0Y44 TIEOFF TIEOFF[0,0] TIEOFF_X0Y44 |
INT_R INT_R_X1Y44 TIEOFF TIEOFF[0,0] TIEOFF_X1Y44 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y44 |
VBRK VBRK_X9Y46 |
CLBLL_L CLBLL_L_X2Y44 SLICEL SLICE[0,0] SLICE_X0Y44 SLICEL SLICE[1,0] SLICE_X1Y44 |
INT_L INT_L_X2Y44 TIEOFF TIEOFF[0,0] TIEOFF_X2Y44 |
INT_R INT_R_X3Y44 TIEOFF TIEOFF[0,0] TIEOFF_X3Y44 |
CLBLM_R CLBLM_R_X3Y44 SLICEM SLICE[0,0] SLICE_X2Y44 SLICEL SLICE[1,0] SLICE_X3Y44 |
CLBLL_L CLBLL_L_X4Y44 SLICEL SLICE[0,0] SLICE_X4Y44 SLICEL SLICE[1,0] SLICE_X5Y44 |
INT_L INT_L_X4Y44 TIEOFF TIEOFF[0,0] TIEOFF_X4Y44 |
INT_R INT_R_X5Y44 TIEOFF TIEOFF[0,0] TIEOFF_X5Y44 |
CLBLM_R CLBLM_R_X5Y44 SLICEM SLICE[0,0] SLICE_X6Y44 SLICEL SLICE[1,0] SLICE_X7Y44 |
VBRK VBRK_X18Y46 |
CLBLL_L CLBLL_L_X6Y44 SLICEL SLICE[0,0] SLICE_X8Y44 SLICEL SLICE[1,0] SLICE_X9Y44 |
INT_L INT_L_X6Y44 TIEOFF TIEOFF[0,0] TIEOFF_X6Y44 |
INT_R INT_R_X7Y44 TIEOFF TIEOFF[0,0] TIEOFF_X7Y44 |
CLBLM_R CLBLM_R_X7Y44 SLICEM SLICE[0,0] SLICE_X10Y44 SLICEL SLICE[1,0] SLICE_X11Y44 |
CLBLL_L CLBLL_L_X8Y44 SLICEL SLICE[0,0] SLICE_X12Y44 SLICEL SLICE[1,0] SLICE_X13Y44 |
INT_L INT_L_X8Y44 TIEOFF TIEOFF[0,0] TIEOFF_X8Y44 |
INT_R INT_R_X9Y44 TIEOFF TIEOFF[0,0] TIEOFF_X9Y44 |
CLBLM_R CLBLM_R_X9Y44 SLICEM SLICE[0,0] SLICE_X14Y44 SLICEL SLICE[1,0] SLICE_X15Y44 |
VBRK VBRK_X27Y46 |
CLBLL_L CLBLL_L_X10Y44 SLICEL SLICE[0,0] SLICE_X16Y44 SLICEL SLICE[1,0] SLICE_X17Y44 |
INT_L INT_L_X10Y44 TIEOFF TIEOFF[0,0] TIEOFF_X10Y44 |
INT_R INT_R_X11Y44 TIEOFF TIEOFF[0,0] TIEOFF_X11Y44 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y44 |
CLK_FEED CLK_FEED_X32Y46 |
VBRK VBRK_X33Y46 |
CLBLM_L CLBLM_L_X12Y44 SLICEM SLICE[0,0] SLICE_X18Y44 SLICEL SLICE[1,0] SLICE_X19Y44 |
INT_L INT_L_X12Y44 TIEOFF TIEOFF[0,0] TIEOFF_X12Y44 |
INT_R INT_R_X13Y44 TIEOFF TIEOFF[0,0] TIEOFF_X13Y44 |
CLBLL_R CLBLL_R_X13Y44 SLICEL SLICE[0,0] SLICE_X20Y44 SLICEL SLICE[1,0] SLICE_X21Y44 |
VBRK VBRK_X38Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y46 |
VFRAME VFRAME_X51Y46 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y44 |
INT_L INT_L_X14Y44 TIEOFF TIEOFF[0,0] TIEOFF_X14Y44 |
INT_R INT_R_X15Y44 TIEOFF TIEOFF[0,0] TIEOFF_X15Y44 |
CLBLM_R CLBLM_R_X15Y44 SLICEM SLICE[0,0] SLICE_X22Y44 SLICEL SLICE[1,0] SLICE_X23Y44 |
VBRK VBRK_X56Y46 |
CLBLM_L CLBLM_L_X16Y44 SLICEM SLICE[0,0] SLICE_X24Y44 SLICEL SLICE[1,0] SLICE_X25Y44 |
INT_L INT_L_X16Y44 TIEOFF TIEOFF[0,0] TIEOFF_X16Y44 |
INT_R INT_R_X17Y44 TIEOFF TIEOFF[0,0] TIEOFF_X17Y44 |
CLBLM_R CLBLM_R_X17Y44 SLICEM SLICE[0,0] SLICE_X26Y44 SLICEL SLICE[1,0] SLICE_X27Y44 |
VBRK VBRK_X61Y46 |
DSP_L DSP_L_X18Y40 TIEOFF TIEOFF[0,0] TIEOFF_X18Y40 DSP48E1 DSP48[0,0] DSP48_X0Y16 DSP48E1 DSP48[0,1] DSP48_X0Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y44 |
INT_L INT_L_X18Y44 TIEOFF TIEOFF[0,0] TIEOFF_X19Y44 |
INT_R INT_R_X19Y44 TIEOFF TIEOFF[0,0] TIEOFF_X20Y44 |
CLBLM_R CLBLM_R_X19Y44 SLICEM SLICE[0,0] SLICE_X28Y44 SLICEL SLICE[1,0] SLICE_X29Y44 |
CLBLM_L CLBLM_L_X20Y44 SLICEM SLICE[0,0] SLICE_X30Y44 SLICEL SLICE[1,0] SLICE_X31Y44 |
INT_L INT_L_X20Y44 TIEOFF TIEOFF[0,0] TIEOFF_X21Y44 |
INT_R INT_R_X21Y44 TIEOFF TIEOFF[0,0] TIEOFF_X22Y44 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y44 |
BRAM_R BRAM_R_X21Y40 FIFO18E1 RAMB18[0,0] RAMB18_X0Y16 RAMB18E1 RAMB18[0,1] RAMB18_X0Y17 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y8 |
VBRK VBRK_X72Y46 |
CLBLL_L CLBLL_L_X22Y44 SLICEL SLICE[0,0] SLICE_X32Y44 SLICEL SLICE[1,0] SLICE_X33Y44 |
INT_L INT_L_X22Y44 TIEOFF TIEOFF[0,0] TIEOFF_X23Y44 |
INT_R INT_R_X23Y44 TIEOFF TIEOFF[0,0] TIEOFF_X24Y44 |
CLBLM_R CLBLM_R_X23Y44 SLICEM SLICE[0,0] SLICE_X34Y44 SLICEL SLICE[1,0] SLICE_X35Y44 |
CLBLL_L CLBLL_L_X24Y44 SLICEL SLICE[0,0] SLICE_X36Y44 SLICEL SLICE[1,0] SLICE_X37Y44 |
INT_L INT_L_X24Y44 TIEOFF TIEOFF[0,0] TIEOFF_X25Y44 |
INT_R INT_R_X25Y44 TIEOFF TIEOFF[0,0] TIEOFF_X26Y44 |
CLBLM_R CLBLM_R_X25Y44 SLICEM SLICE[0,0] SLICE_X38Y44 SLICEL SLICE[1,0] SLICE_X39Y44 |
VBRK VBRK_X81Y46 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y44 |
INT_L INT_L_X26Y44 TIEOFF TIEOFF[0,0] TIEOFF_X27Y44 |
INT_R INT_R_X27Y44 TIEOFF TIEOFF[0,0] TIEOFF_X28Y44 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y44 |
R_TERM_INT R_TERM_INT_X88Y46 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X27Y43 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y43 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y44 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y43 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y44 IDELAYE2 IDELAY[0,0] IDELAY_X1Y43 IDELAYE2 IDELAY[0,1] IDELAY_X1Y44 |
RIOB33 RIOB33_X27Y43 IOB33S IOB[0,0] IOB_X1Y43 IOB33M IOB[0,1] IOB_X1Y44 |
||||||
L_TERM_INT L_TERM_INT_X2Y45 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y43 |
INT_L INT_L_X0Y43 TIEOFF TIEOFF[0,0] TIEOFF_X0Y43 |
INT_R INT_R_X1Y43 TIEOFF TIEOFF[0,0] TIEOFF_X1Y43 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y43 |
VBRK VBRK_X9Y45 |
CLBLL_L CLBLL_L_X2Y43 SLICEL SLICE[0,0] SLICE_X0Y43 SLICEL SLICE[1,0] SLICE_X1Y43 |
INT_L INT_L_X2Y43 TIEOFF TIEOFF[0,0] TIEOFF_X2Y43 |
INT_R INT_R_X3Y43 TIEOFF TIEOFF[0,0] TIEOFF_X3Y43 |
CLBLM_R CLBLM_R_X3Y43 SLICEM SLICE[0,0] SLICE_X2Y43 SLICEL SLICE[1,0] SLICE_X3Y43 |
CLBLL_L CLBLL_L_X4Y43 SLICEL SLICE[0,0] SLICE_X4Y43 SLICEL SLICE[1,0] SLICE_X5Y43 |
INT_L INT_L_X4Y43 TIEOFF TIEOFF[0,0] TIEOFF_X4Y43 |
INT_R INT_R_X5Y43 TIEOFF TIEOFF[0,0] TIEOFF_X5Y43 |
CLBLM_R CLBLM_R_X5Y43 SLICEM SLICE[0,0] SLICE_X6Y43 SLICEL SLICE[1,0] SLICE_X7Y43 |
VBRK VBRK_X18Y45 |
CLBLL_L CLBLL_L_X6Y43 SLICEL SLICE[0,0] SLICE_X8Y43 SLICEL SLICE[1,0] SLICE_X9Y43 |
INT_L INT_L_X6Y43 TIEOFF TIEOFF[0,0] TIEOFF_X6Y43 |
INT_R INT_R_X7Y43 TIEOFF TIEOFF[0,0] TIEOFF_X7Y43 |
CLBLM_R CLBLM_R_X7Y43 SLICEM SLICE[0,0] SLICE_X10Y43 SLICEL SLICE[1,0] SLICE_X11Y43 |
CLBLL_L CLBLL_L_X8Y43 SLICEL SLICE[0,0] SLICE_X12Y43 SLICEL SLICE[1,0] SLICE_X13Y43 |
INT_L INT_L_X8Y43 TIEOFF TIEOFF[0,0] TIEOFF_X8Y43 |
INT_R INT_R_X9Y43 TIEOFF TIEOFF[0,0] TIEOFF_X9Y43 |
CLBLM_R CLBLM_R_X9Y43 SLICEM SLICE[0,0] SLICE_X14Y43 SLICEL SLICE[1,0] SLICE_X15Y43 |
VBRK VBRK_X27Y45 |
CLBLL_L CLBLL_L_X10Y43 SLICEL SLICE[0,0] SLICE_X16Y43 SLICEL SLICE[1,0] SLICE_X17Y43 |
INT_L INT_L_X10Y43 TIEOFF TIEOFF[0,0] TIEOFF_X10Y43 |
INT_R INT_R_X11Y43 TIEOFF TIEOFF[0,0] TIEOFF_X11Y43 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y43 |
CLK_FEED CLK_FEED_X32Y45 |
VBRK VBRK_X33Y45 |
CLBLM_L CLBLM_L_X12Y43 SLICEM SLICE[0,0] SLICE_X18Y43 SLICEL SLICE[1,0] SLICE_X19Y43 |
INT_L INT_L_X12Y43 TIEOFF TIEOFF[0,0] TIEOFF_X12Y43 |
INT_R INT_R_X13Y43 TIEOFF TIEOFF[0,0] TIEOFF_X13Y43 |
CLBLL_R CLBLL_R_X13Y43 SLICEL SLICE[0,0] SLICE_X20Y43 SLICEL SLICE[1,0] SLICE_X21Y43 |
VBRK VBRK_X38Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y45 |
VFRAME VFRAME_X51Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y43 |
INT_L INT_L_X14Y43 TIEOFF TIEOFF[0,0] TIEOFF_X14Y43 |
INT_R INT_R_X15Y43 TIEOFF TIEOFF[0,0] TIEOFF_X15Y43 |
CLBLM_R CLBLM_R_X15Y43 SLICEM SLICE[0,0] SLICE_X22Y43 SLICEL SLICE[1,0] SLICE_X23Y43 |
VBRK VBRK_X56Y45 |
CLBLM_L CLBLM_L_X16Y43 SLICEM SLICE[0,0] SLICE_X24Y43 SLICEL SLICE[1,0] SLICE_X25Y43 |
INT_L INT_L_X16Y43 TIEOFF TIEOFF[0,0] TIEOFF_X16Y43 |
INT_R INT_R_X17Y43 TIEOFF TIEOFF[0,0] TIEOFF_X17Y43 |
CLBLM_R CLBLM_R_X17Y43 SLICEM SLICE[0,0] SLICE_X26Y43 SLICEL SLICE[1,0] SLICE_X27Y43 |
VBRK VBRK_X61Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y43 |
INT_L INT_L_X18Y43 TIEOFF TIEOFF[0,0] TIEOFF_X19Y43 |
INT_R INT_R_X19Y43 TIEOFF TIEOFF[0,0] TIEOFF_X20Y43 |
CLBLM_R CLBLM_R_X19Y43 SLICEM SLICE[0,0] SLICE_X28Y43 SLICEL SLICE[1,0] SLICE_X29Y43 |
CLBLM_L CLBLM_L_X20Y43 SLICEM SLICE[0,0] SLICE_X30Y43 SLICEL SLICE[1,0] SLICE_X31Y43 |
INT_L INT_L_X20Y43 TIEOFF TIEOFF[0,0] TIEOFF_X21Y43 |
INT_R INT_R_X21Y43 TIEOFF TIEOFF[0,0] TIEOFF_X22Y43 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y43 |
VBRK VBRK_X72Y45 |
CLBLL_L CLBLL_L_X22Y43 SLICEL SLICE[0,0] SLICE_X32Y43 SLICEL SLICE[1,0] SLICE_X33Y43 |
INT_L INT_L_X22Y43 TIEOFF TIEOFF[0,0] TIEOFF_X23Y43 |
INT_R INT_R_X23Y43 TIEOFF TIEOFF[0,0] TIEOFF_X24Y43 |
CLBLM_R CLBLM_R_X23Y43 SLICEM SLICE[0,0] SLICE_X34Y43 SLICEL SLICE[1,0] SLICE_X35Y43 |
CLBLL_L CLBLL_L_X24Y43 SLICEL SLICE[0,0] SLICE_X36Y43 SLICEL SLICE[1,0] SLICE_X37Y43 |
INT_L INT_L_X24Y43 TIEOFF TIEOFF[0,0] TIEOFF_X25Y43 |
INT_R INT_R_X25Y43 TIEOFF TIEOFF[0,0] TIEOFF_X26Y43 |
CLBLM_R CLBLM_R_X25Y43 SLICEM SLICE[0,0] SLICE_X38Y43 SLICEL SLICE[1,0] SLICE_X39Y43 |
VBRK VBRK_X81Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y43 |
INT_L INT_L_X26Y43 TIEOFF TIEOFF[0,0] TIEOFF_X27Y43 |
INT_R INT_R_X27Y43 TIEOFF TIEOFF[0,0] TIEOFF_X28Y43 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y43 |
R_TERM_INT R_TERM_INT_X88Y45 |
||||||||||||
LIOB33 LIOB33_X0Y41 IOB33S IOB[0,0] IOB_X0Y41 IOB33M IOB[0,1] IOB_X0Y42 |
LIOI3 LIOI3_X0Y41 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y41 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y42 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y41 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y42 IDELAYE2 IDELAY[0,0] IDELAY_X0Y41 IDELAYE2 IDELAY[0,1] IDELAY_X0Y42 |
L_TERM_INT L_TERM_INT_X2Y44 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y42 |
INT_L INT_L_X0Y42 TIEOFF TIEOFF[0,0] TIEOFF_X0Y42 |
INT_R INT_R_X1Y42 TIEOFF TIEOFF[0,0] TIEOFF_X1Y42 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y42 |
VBRK VBRK_X9Y44 |
CLBLL_L CLBLL_L_X2Y42 SLICEL SLICE[0,0] SLICE_X0Y42 SLICEL SLICE[1,0] SLICE_X1Y42 |
INT_L INT_L_X2Y42 TIEOFF TIEOFF[0,0] TIEOFF_X2Y42 |
INT_R INT_R_X3Y42 TIEOFF TIEOFF[0,0] TIEOFF_X3Y42 |
CLBLM_R CLBLM_R_X3Y42 SLICEM SLICE[0,0] SLICE_X2Y42 SLICEL SLICE[1,0] SLICE_X3Y42 |
CLBLL_L CLBLL_L_X4Y42 SLICEL SLICE[0,0] SLICE_X4Y42 SLICEL SLICE[1,0] SLICE_X5Y42 |
INT_L INT_L_X4Y42 TIEOFF TIEOFF[0,0] TIEOFF_X4Y42 |
INT_R INT_R_X5Y42 TIEOFF TIEOFF[0,0] TIEOFF_X5Y42 |
CLBLM_R CLBLM_R_X5Y42 SLICEM SLICE[0,0] SLICE_X6Y42 SLICEL SLICE[1,0] SLICE_X7Y42 |
VBRK VBRK_X18Y44 |
CLBLL_L CLBLL_L_X6Y42 SLICEL SLICE[0,0] SLICE_X8Y42 SLICEL SLICE[1,0] SLICE_X9Y42 |
INT_L INT_L_X6Y42 TIEOFF TIEOFF[0,0] TIEOFF_X6Y42 |
INT_R INT_R_X7Y42 TIEOFF TIEOFF[0,0] TIEOFF_X7Y42 |
CLBLM_R CLBLM_R_X7Y42 SLICEM SLICE[0,0] SLICE_X10Y42 SLICEL SLICE[1,0] SLICE_X11Y42 |
CLBLL_L CLBLL_L_X8Y42 SLICEL SLICE[0,0] SLICE_X12Y42 SLICEL SLICE[1,0] SLICE_X13Y42 |
INT_L INT_L_X8Y42 TIEOFF TIEOFF[0,0] TIEOFF_X8Y42 |
INT_R INT_R_X9Y42 TIEOFF TIEOFF[0,0] TIEOFF_X9Y42 |
CLBLM_R CLBLM_R_X9Y42 SLICEM SLICE[0,0] SLICE_X14Y42 SLICEL SLICE[1,0] SLICE_X15Y42 |
VBRK VBRK_X27Y44 |
CLBLL_L CLBLL_L_X10Y42 SLICEL SLICE[0,0] SLICE_X16Y42 SLICEL SLICE[1,0] SLICE_X17Y42 |
INT_L INT_L_X10Y42 TIEOFF TIEOFF[0,0] TIEOFF_X10Y42 |
INT_R INT_R_X11Y42 TIEOFF TIEOFF[0,0] TIEOFF_X11Y42 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y42 |
CLK_FEED CLK_FEED_X32Y44 |
VBRK VBRK_X33Y44 |
CLBLM_L CLBLM_L_X12Y42 SLICEM SLICE[0,0] SLICE_X18Y42 SLICEL SLICE[1,0] SLICE_X19Y42 |
INT_L INT_L_X12Y42 TIEOFF TIEOFF[0,0] TIEOFF_X12Y42 |
INT_R INT_R_X13Y42 TIEOFF TIEOFF[0,0] TIEOFF_X13Y42 |
CLBLL_R CLBLL_R_X13Y42 SLICEL SLICE[0,0] SLICE_X20Y42 SLICEL SLICE[1,0] SLICE_X21Y42 |
VBRK VBRK_X38Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y44 |
VFRAME VFRAME_X51Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y42 |
INT_L INT_L_X14Y42 TIEOFF TIEOFF[0,0] TIEOFF_X14Y42 |
INT_R INT_R_X15Y42 TIEOFF TIEOFF[0,0] TIEOFF_X15Y42 |
CLBLM_R CLBLM_R_X15Y42 SLICEM SLICE[0,0] SLICE_X22Y42 SLICEL SLICE[1,0] SLICE_X23Y42 |
VBRK VBRK_X56Y44 |
CLBLM_L CLBLM_L_X16Y42 SLICEM SLICE[0,0] SLICE_X24Y42 SLICEL SLICE[1,0] SLICE_X25Y42 |
INT_L INT_L_X16Y42 TIEOFF TIEOFF[0,0] TIEOFF_X16Y42 |
INT_R INT_R_X17Y42 TIEOFF TIEOFF[0,0] TIEOFF_X17Y42 |
CLBLM_R CLBLM_R_X17Y42 SLICEM SLICE[0,0] SLICE_X26Y42 SLICEL SLICE[1,0] SLICE_X27Y42 |
VBRK VBRK_X61Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y42 |
INT_L INT_L_X18Y42 TIEOFF TIEOFF[0,0] TIEOFF_X19Y42 |
INT_R INT_R_X19Y42 TIEOFF TIEOFF[0,0] TIEOFF_X20Y42 |
CLBLM_R CLBLM_R_X19Y42 SLICEM SLICE[0,0] SLICE_X28Y42 SLICEL SLICE[1,0] SLICE_X29Y42 |
CLBLM_L CLBLM_L_X20Y42 SLICEM SLICE[0,0] SLICE_X30Y42 SLICEL SLICE[1,0] SLICE_X31Y42 |
INT_L INT_L_X20Y42 TIEOFF TIEOFF[0,0] TIEOFF_X21Y42 |
INT_R INT_R_X21Y42 TIEOFF TIEOFF[0,0] TIEOFF_X22Y42 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y42 |
VBRK VBRK_X72Y44 |
CLBLL_L CLBLL_L_X22Y42 SLICEL SLICE[0,0] SLICE_X32Y42 SLICEL SLICE[1,0] SLICE_X33Y42 |
INT_L INT_L_X22Y42 TIEOFF TIEOFF[0,0] TIEOFF_X23Y42 |
INT_R INT_R_X23Y42 TIEOFF TIEOFF[0,0] TIEOFF_X24Y42 |
CLBLM_R CLBLM_R_X23Y42 SLICEM SLICE[0,0] SLICE_X34Y42 SLICEL SLICE[1,0] SLICE_X35Y42 |
CLBLL_L CLBLL_L_X24Y42 SLICEL SLICE[0,0] SLICE_X36Y42 SLICEL SLICE[1,0] SLICE_X37Y42 |
INT_L INT_L_X24Y42 TIEOFF TIEOFF[0,0] TIEOFF_X25Y42 |
INT_R INT_R_X25Y42 TIEOFF TIEOFF[0,0] TIEOFF_X26Y42 |
CLBLM_R CLBLM_R_X25Y42 SLICEM SLICE[0,0] SLICE_X38Y42 SLICEL SLICE[1,0] SLICE_X39Y42 |
VBRK VBRK_X81Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y42 |
INT_L INT_L_X26Y42 TIEOFF TIEOFF[0,0] TIEOFF_X27Y42 |
INT_R INT_R_X27Y42 TIEOFF TIEOFF[0,0] TIEOFF_X28Y42 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y42 |
R_TERM_INT R_TERM_INT_X88Y44 |
RIOI3 RIOI3_X27Y41 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y41 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y42 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y41 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y42 IDELAYE2 IDELAY[0,0] IDELAY_X1Y41 IDELAYE2 IDELAY[0,1] IDELAY_X1Y42 |
RIOB33 RIOB33_X27Y41 IOB33S IOB[0,0] IOB_X1Y41 IOB33M IOB[0,1] IOB_X1Y42 |
||||||||
L_TERM_INT L_TERM_INT_X2Y43 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y41 |
INT_L INT_L_X0Y41 TIEOFF TIEOFF[0,0] TIEOFF_X0Y41 |
INT_R INT_R_X1Y41 TIEOFF TIEOFF[0,0] TIEOFF_X1Y41 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y41 |
VBRK VBRK_X9Y43 |
CLBLL_L CLBLL_L_X2Y41 SLICEL SLICE[0,0] SLICE_X0Y41 SLICEL SLICE[1,0] SLICE_X1Y41 |
INT_L INT_L_X2Y41 TIEOFF TIEOFF[0,0] TIEOFF_X2Y41 |
INT_R INT_R_X3Y41 TIEOFF TIEOFF[0,0] TIEOFF_X3Y41 |
CLBLM_R CLBLM_R_X3Y41 SLICEM SLICE[0,0] SLICE_X2Y41 SLICEL SLICE[1,0] SLICE_X3Y41 |
CLBLL_L CLBLL_L_X4Y41 SLICEL SLICE[0,0] SLICE_X4Y41 SLICEL SLICE[1,0] SLICE_X5Y41 |
INT_L INT_L_X4Y41 TIEOFF TIEOFF[0,0] TIEOFF_X4Y41 |
INT_R INT_R_X5Y41 TIEOFF TIEOFF[0,0] TIEOFF_X5Y41 |
CLBLM_R CLBLM_R_X5Y41 SLICEM SLICE[0,0] SLICE_X6Y41 SLICEL SLICE[1,0] SLICE_X7Y41 |
VBRK VBRK_X18Y43 |
CLBLL_L CLBLL_L_X6Y41 SLICEL SLICE[0,0] SLICE_X8Y41 SLICEL SLICE[1,0] SLICE_X9Y41 |
INT_L INT_L_X6Y41 TIEOFF TIEOFF[0,0] TIEOFF_X6Y41 |
INT_R INT_R_X7Y41 TIEOFF TIEOFF[0,0] TIEOFF_X7Y41 |
CLBLM_R CLBLM_R_X7Y41 SLICEM SLICE[0,0] SLICE_X10Y41 SLICEL SLICE[1,0] SLICE_X11Y41 |
CLBLL_L CLBLL_L_X8Y41 SLICEL SLICE[0,0] SLICE_X12Y41 SLICEL SLICE[1,0] SLICE_X13Y41 |
INT_L INT_L_X8Y41 TIEOFF TIEOFF[0,0] TIEOFF_X8Y41 |
INT_R INT_R_X9Y41 TIEOFF TIEOFF[0,0] TIEOFF_X9Y41 |
CLBLM_R CLBLM_R_X9Y41 SLICEM SLICE[0,0] SLICE_X14Y41 SLICEL SLICE[1,0] SLICE_X15Y41 |
VBRK VBRK_X27Y43 |
CLBLL_L CLBLL_L_X10Y41 SLICEL SLICE[0,0] SLICE_X16Y41 SLICEL SLICE[1,0] SLICE_X17Y41 |
INT_L INT_L_X10Y41 TIEOFF TIEOFF[0,0] TIEOFF_X10Y41 |
INT_R INT_R_X11Y41 TIEOFF TIEOFF[0,0] TIEOFF_X11Y41 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y41 |
CLK_PMV2 CLK_PMV2_X32Y43 PMV2 PMV[0,0] PMV_X0Y2 |
VBRK VBRK_X33Y43 |
CLBLM_L CLBLM_L_X12Y41 SLICEM SLICE[0,0] SLICE_X18Y41 SLICEL SLICE[1,0] SLICE_X19Y41 |
INT_L INT_L_X12Y41 TIEOFF TIEOFF[0,0] TIEOFF_X12Y41 |
INT_R INT_R_X13Y41 TIEOFF TIEOFF[0,0] TIEOFF_X13Y41 |
CLBLL_R CLBLL_R_X13Y41 SLICEL SLICE[0,0] SLICE_X20Y41 SLICEL SLICE[1,0] SLICE_X21Y41 |
VBRK VBRK_X38Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y43 |
VFRAME VFRAME_X51Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y41 |
INT_L INT_L_X14Y41 TIEOFF TIEOFF[0,0] TIEOFF_X14Y41 |
INT_R INT_R_X15Y41 TIEOFF TIEOFF[0,0] TIEOFF_X15Y41 |
CLBLM_R CLBLM_R_X15Y41 SLICEM SLICE[0,0] SLICE_X22Y41 SLICEL SLICE[1,0] SLICE_X23Y41 |
VBRK VBRK_X56Y43 |
CLBLM_L CLBLM_L_X16Y41 SLICEM SLICE[0,0] SLICE_X24Y41 SLICEL SLICE[1,0] SLICE_X25Y41 |
INT_L INT_L_X16Y41 TIEOFF TIEOFF[0,0] TIEOFF_X16Y41 |
INT_R INT_R_X17Y41 TIEOFF TIEOFF[0,0] TIEOFF_X17Y41 |
CLBLM_R CLBLM_R_X17Y41 SLICEM SLICE[0,0] SLICE_X26Y41 SLICEL SLICE[1,0] SLICE_X27Y41 |
VBRK VBRK_X61Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y41 |
INT_L INT_L_X18Y41 TIEOFF TIEOFF[0,0] TIEOFF_X19Y41 |
INT_R INT_R_X19Y41 TIEOFF TIEOFF[0,0] TIEOFF_X20Y41 |
CLBLM_R CLBLM_R_X19Y41 SLICEM SLICE[0,0] SLICE_X28Y41 SLICEL SLICE[1,0] SLICE_X29Y41 |
CLBLM_L CLBLM_L_X20Y41 SLICEM SLICE[0,0] SLICE_X30Y41 SLICEL SLICE[1,0] SLICE_X31Y41 |
INT_L INT_L_X20Y41 TIEOFF TIEOFF[0,0] TIEOFF_X21Y41 |
INT_R INT_R_X21Y41 TIEOFF TIEOFF[0,0] TIEOFF_X22Y41 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y41 |
VBRK VBRK_X72Y43 |
CLBLL_L CLBLL_L_X22Y41 SLICEL SLICE[0,0] SLICE_X32Y41 SLICEL SLICE[1,0] SLICE_X33Y41 |
INT_L INT_L_X22Y41 TIEOFF TIEOFF[0,0] TIEOFF_X23Y41 |
INT_R INT_R_X23Y41 TIEOFF TIEOFF[0,0] TIEOFF_X24Y41 |
CLBLM_R CLBLM_R_X23Y41 SLICEM SLICE[0,0] SLICE_X34Y41 SLICEL SLICE[1,0] SLICE_X35Y41 |
CLBLL_L CLBLL_L_X24Y41 SLICEL SLICE[0,0] SLICE_X36Y41 SLICEL SLICE[1,0] SLICE_X37Y41 |
INT_L INT_L_X24Y41 TIEOFF TIEOFF[0,0] TIEOFF_X25Y41 |
INT_R INT_R_X25Y41 TIEOFF TIEOFF[0,0] TIEOFF_X26Y41 |
CLBLM_R CLBLM_R_X25Y41 SLICEM SLICE[0,0] SLICE_X38Y41 SLICEL SLICE[1,0] SLICE_X39Y41 |
VBRK VBRK_X81Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y41 |
INT_L INT_L_X26Y41 TIEOFF TIEOFF[0,0] TIEOFF_X27Y41 |
INT_R INT_R_X27Y41 TIEOFF TIEOFF[0,0] TIEOFF_X28Y41 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y41 |
R_TERM_INT R_TERM_INT_X88Y43 |
||||||||||||
LIOB33 LIOB33_X0Y39 IOB33S IOB[0,0] IOB_X0Y39 IOB33M IOB[0,1] IOB_X0Y40 |
LIOI3 LIOI3_X0Y39 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y39 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y40 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y39 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y40 IDELAYE2 IDELAY[0,0] IDELAY_X0Y39 IDELAYE2 IDELAY[0,1] IDELAY_X0Y40 |
L_TERM_INT L_TERM_INT_X2Y42 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y40 |
INT_L INT_L_X0Y40 TIEOFF TIEOFF[0,0] TIEOFF_X0Y40 |
INT_R INT_R_X1Y40 TIEOFF TIEOFF[0,0] TIEOFF_X1Y40 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y40 |
VBRK VBRK_X9Y42 |
CLBLL_L CLBLL_L_X2Y40 SLICEL SLICE[0,0] SLICE_X0Y40 SLICEL SLICE[1,0] SLICE_X1Y40 |
INT_L INT_L_X2Y40 TIEOFF TIEOFF[0,0] TIEOFF_X2Y40 |
INT_R INT_R_X3Y40 TIEOFF TIEOFF[0,0] TIEOFF_X3Y40 |
CLBLM_R CLBLM_R_X3Y40 SLICEM SLICE[0,0] SLICE_X2Y40 SLICEL SLICE[1,0] SLICE_X3Y40 |
CLBLL_L CLBLL_L_X4Y40 SLICEL SLICE[0,0] SLICE_X4Y40 SLICEL SLICE[1,0] SLICE_X5Y40 |
INT_L INT_L_X4Y40 TIEOFF TIEOFF[0,0] TIEOFF_X4Y40 |
INT_R INT_R_X5Y40 TIEOFF TIEOFF[0,0] TIEOFF_X5Y40 |
CLBLM_R CLBLM_R_X5Y40 SLICEM SLICE[0,0] SLICE_X6Y40 SLICEL SLICE[1,0] SLICE_X7Y40 |
VBRK VBRK_X18Y42 |
CLBLL_L CLBLL_L_X6Y40 SLICEL SLICE[0,0] SLICE_X8Y40 SLICEL SLICE[1,0] SLICE_X9Y40 |
INT_L INT_L_X6Y40 TIEOFF TIEOFF[0,0] TIEOFF_X6Y40 |
INT_R INT_R_X7Y40 TIEOFF TIEOFF[0,0] TIEOFF_X7Y40 |
CLBLM_R CLBLM_R_X7Y40 SLICEM SLICE[0,0] SLICE_X10Y40 SLICEL SLICE[1,0] SLICE_X11Y40 |
CLBLL_L CLBLL_L_X8Y40 SLICEL SLICE[0,0] SLICE_X12Y40 SLICEL SLICE[1,0] SLICE_X13Y40 |
INT_L INT_L_X8Y40 TIEOFF TIEOFF[0,0] TIEOFF_X8Y40 |
INT_R INT_R_X9Y40 TIEOFF TIEOFF[0,0] TIEOFF_X9Y40 |
CLBLM_R CLBLM_R_X9Y40 SLICEM SLICE[0,0] SLICE_X14Y40 SLICEL SLICE[1,0] SLICE_X15Y40 |
VBRK VBRK_X27Y42 |
CLBLL_L CLBLL_L_X10Y40 SLICEL SLICE[0,0] SLICE_X16Y40 SLICEL SLICE[1,0] SLICE_X17Y40 |
INT_L INT_L_X10Y40 TIEOFF TIEOFF[0,0] TIEOFF_X10Y40 |
INT_R INT_R_X11Y40 TIEOFF TIEOFF[0,0] TIEOFF_X11Y40 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y40 |
CLK_FEED CLK_FEED_X32Y42 |
VBRK VBRK_X33Y42 |
CLBLM_L CLBLM_L_X12Y40 SLICEM SLICE[0,0] SLICE_X18Y40 SLICEL SLICE[1,0] SLICE_X19Y40 |
INT_L INT_L_X12Y40 TIEOFF TIEOFF[0,0] TIEOFF_X12Y40 |
INT_R INT_R_X13Y40 TIEOFF TIEOFF[0,0] TIEOFF_X13Y40 |
CLBLL_R CLBLL_R_X13Y40 SLICEL SLICE[0,0] SLICE_X20Y40 SLICEL SLICE[1,0] SLICE_X21Y40 |
VBRK VBRK_X38Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y42 |
VFRAME VFRAME_X51Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y40 |
INT_L INT_L_X14Y40 TIEOFF TIEOFF[0,0] TIEOFF_X14Y40 |
INT_R INT_R_X15Y40 TIEOFF TIEOFF[0,0] TIEOFF_X15Y40 |
CLBLM_R CLBLM_R_X15Y40 SLICEM SLICE[0,0] SLICE_X22Y40 SLICEL SLICE[1,0] SLICE_X23Y40 |
VBRK VBRK_X56Y42 |
CLBLM_L CLBLM_L_X16Y40 SLICEM SLICE[0,0] SLICE_X24Y40 SLICEL SLICE[1,0] SLICE_X25Y40 |
INT_L INT_L_X16Y40 TIEOFF TIEOFF[0,0] TIEOFF_X16Y40 |
INT_R INT_R_X17Y40 TIEOFF TIEOFF[0,0] TIEOFF_X17Y40 |
CLBLM_R CLBLM_R_X17Y40 SLICEM SLICE[0,0] SLICE_X26Y40 SLICEL SLICE[1,0] SLICE_X27Y40 |
VBRK VBRK_X61Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y40 |
INT_L INT_L_X18Y40 TIEOFF TIEOFF[0,0] TIEOFF_X19Y40 |
INT_R INT_R_X19Y40 TIEOFF TIEOFF[0,0] TIEOFF_X20Y40 |
CLBLM_R CLBLM_R_X19Y40 SLICEM SLICE[0,0] SLICE_X28Y40 SLICEL SLICE[1,0] SLICE_X29Y40 |
CLBLM_L CLBLM_L_X20Y40 SLICEM SLICE[0,0] SLICE_X30Y40 SLICEL SLICE[1,0] SLICE_X31Y40 |
INT_L INT_L_X20Y40 TIEOFF TIEOFF[0,0] TIEOFF_X21Y40 |
INT_R INT_R_X21Y40 TIEOFF TIEOFF[0,0] TIEOFF_X22Y40 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y40 |
VBRK VBRK_X72Y42 |
CLBLL_L CLBLL_L_X22Y40 SLICEL SLICE[0,0] SLICE_X32Y40 SLICEL SLICE[1,0] SLICE_X33Y40 |
INT_L INT_L_X22Y40 TIEOFF TIEOFF[0,0] TIEOFF_X23Y40 |
INT_R INT_R_X23Y40 TIEOFF TIEOFF[0,0] TIEOFF_X24Y40 |
CLBLM_R CLBLM_R_X23Y40 SLICEM SLICE[0,0] SLICE_X34Y40 SLICEL SLICE[1,0] SLICE_X35Y40 |
CLBLL_L CLBLL_L_X24Y40 SLICEL SLICE[0,0] SLICE_X36Y40 SLICEL SLICE[1,0] SLICE_X37Y40 |
INT_L INT_L_X24Y40 TIEOFF TIEOFF[0,0] TIEOFF_X25Y40 |
INT_R INT_R_X25Y40 TIEOFF TIEOFF[0,0] TIEOFF_X26Y40 |
CLBLM_R CLBLM_R_X25Y40 SLICEM SLICE[0,0] SLICE_X38Y40 SLICEL SLICE[1,0] SLICE_X39Y40 |
VBRK VBRK_X81Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y40 |
INT_L INT_L_X26Y40 TIEOFF TIEOFF[0,0] TIEOFF_X27Y40 |
INT_R INT_R_X27Y40 TIEOFF TIEOFF[0,0] TIEOFF_X28Y40 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y40 |
R_TERM_INT R_TERM_INT_X88Y42 |
RIOI3 RIOI3_X27Y39 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y39 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y40 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y39 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y40 IDELAYE2 IDELAY[0,0] IDELAY_X1Y39 IDELAYE2 IDELAY[0,1] IDELAY_X1Y40 |
RIOB33 RIOB33_X27Y39 IOB33S IOB[0,0] IOB_X1Y39 IOB33M IOB[0,1] IOB_X1Y40 |
||||||||
L_TERM_INT L_TERM_INT_X2Y41 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y39 |
INT_L INT_L_X0Y39 TIEOFF TIEOFF[0,0] TIEOFF_X0Y39 |
INT_R INT_R_X1Y39 TIEOFF TIEOFF[0,0] TIEOFF_X1Y39 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y39 |
VBRK VBRK_X9Y41 |
CLBLL_L CLBLL_L_X2Y39 SLICEL SLICE[0,0] SLICE_X0Y39 SLICEL SLICE[1,0] SLICE_X1Y39 |
INT_L INT_L_X2Y39 TIEOFF TIEOFF[0,0] TIEOFF_X2Y39 |
INT_R INT_R_X3Y39 TIEOFF TIEOFF[0,0] TIEOFF_X3Y39 |
CLBLM_R CLBLM_R_X3Y39 SLICEM SLICE[0,0] SLICE_X2Y39 SLICEL SLICE[1,0] SLICE_X3Y39 |
CLBLL_L CLBLL_L_X4Y39 SLICEL SLICE[0,0] SLICE_X4Y39 SLICEL SLICE[1,0] SLICE_X5Y39 |
INT_L INT_L_X4Y39 TIEOFF TIEOFF[0,0] TIEOFF_X4Y39 |
INT_R INT_R_X5Y39 TIEOFF TIEOFF[0,0] TIEOFF_X5Y39 |
CLBLM_R CLBLM_R_X5Y39 SLICEM SLICE[0,0] SLICE_X6Y39 SLICEL SLICE[1,0] SLICE_X7Y39 |
VBRK VBRK_X18Y41 |
CLBLL_L CLBLL_L_X6Y39 SLICEL SLICE[0,0] SLICE_X8Y39 SLICEL SLICE[1,0] SLICE_X9Y39 |
INT_L INT_L_X6Y39 TIEOFF TIEOFF[0,0] TIEOFF_X6Y39 |
INT_R INT_R_X7Y39 TIEOFF TIEOFF[0,0] TIEOFF_X7Y39 |
CLBLM_R CLBLM_R_X7Y39 SLICEM SLICE[0,0] SLICE_X10Y39 SLICEL SLICE[1,0] SLICE_X11Y39 |
CLBLL_L CLBLL_L_X8Y39 SLICEL SLICE[0,0] SLICE_X12Y39 SLICEL SLICE[1,0] SLICE_X13Y39 |
INT_L INT_L_X8Y39 TIEOFF TIEOFF[0,0] TIEOFF_X8Y39 |
INT_R INT_R_X9Y39 TIEOFF TIEOFF[0,0] TIEOFF_X9Y39 |
CLBLM_R CLBLM_R_X9Y39 SLICEM SLICE[0,0] SLICE_X14Y39 SLICEL SLICE[1,0] SLICE_X15Y39 |
VBRK VBRK_X27Y41 |
CLBLL_L CLBLL_L_X10Y39 SLICEL SLICE[0,0] SLICE_X16Y39 SLICEL SLICE[1,0] SLICE_X17Y39 |
INT_L INT_L_X10Y39 TIEOFF TIEOFF[0,0] TIEOFF_X10Y39 |
INT_R INT_R_X11Y39 TIEOFF TIEOFF[0,0] TIEOFF_X11Y39 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y39 |
CLK_FEED CLK_FEED_X32Y41 |
VBRK VBRK_X33Y41 |
CLBLM_L CLBLM_L_X12Y39 SLICEM SLICE[0,0] SLICE_X18Y39 SLICEL SLICE[1,0] SLICE_X19Y39 |
INT_L INT_L_X12Y39 TIEOFF TIEOFF[0,0] TIEOFF_X12Y39 |
INT_R INT_R_X13Y39 TIEOFF TIEOFF[0,0] TIEOFF_X13Y39 |
CLBLL_R CLBLL_R_X13Y39 SLICEL SLICE[0,0] SLICE_X20Y39 SLICEL SLICE[1,0] SLICE_X21Y39 |
VBRK VBRK_X38Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y41 |
CFG_CENTER_MID CFG_CENTER_MID_X50Y32 USR_ACCESS USR_ACCESS[0,0] USR_ACCESS_X0Y0 BSCAN BSCAN[0,0] BSCAN_X0Y0 BSCAN BSCAN[0,1] BSCAN_X0Y1 BSCAN BSCAN[0,2] BSCAN_X0Y2 BSCAN BSCAN[0,3] BSCAN_X0Y3 ICAP ICAP[0,0] ICAP_X0Y0 ICAP ICAP[0,1] ICAP_X0Y1 PMVIOB PMVIOB[0,0] PMVIOB_X1Y1 FRAME_ECC FRAME_ECC[0,0] FRAME_ECC_X0Y0 STARTUP STARTUP[0,0] STARTUP_X0Y0 CAPTURE CAPTURE[0,0] CAPTURE_X0Y0 DCIRESET DCIRESET[0,0] DCIRESET_X0Y0 CFG_IO_ACCESS CFG_IO_ACCESS[0,0] CFG_IO_ACCESS_X0Y0 |
VFRAME VFRAME_X51Y41 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y39 |
INT_L INT_L_X14Y39 TIEOFF TIEOFF[0,0] TIEOFF_X14Y39 |
INT_R INT_R_X15Y39 TIEOFF TIEOFF[0,0] TIEOFF_X15Y39 |
CLBLM_R CLBLM_R_X15Y39 SLICEM SLICE[0,0] SLICE_X22Y39 SLICEL SLICE[1,0] SLICE_X23Y39 |
VBRK VBRK_X56Y41 |
CLBLM_L CLBLM_L_X16Y39 SLICEM SLICE[0,0] SLICE_X24Y39 SLICEL SLICE[1,0] SLICE_X25Y39 |
INT_L INT_L_X16Y39 TIEOFF TIEOFF[0,0] TIEOFF_X16Y39 |
INT_R INT_R_X17Y39 TIEOFF TIEOFF[0,0] TIEOFF_X17Y39 |
CLBLM_R CLBLM_R_X17Y39 SLICEM SLICE[0,0] SLICE_X26Y39 SLICEL SLICE[1,0] SLICE_X27Y39 |
VBRK VBRK_X61Y41 |
DSP_L DSP_L_X18Y35 TIEOFF TIEOFF[0,0] TIEOFF_X18Y35 DSP48E1 DSP48[0,0] DSP48_X0Y14 DSP48E1 DSP48[0,1] DSP48_X0Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y39 |
INT_L INT_L_X18Y39 TIEOFF TIEOFF[0,0] TIEOFF_X19Y39 |
INT_R INT_R_X19Y39 TIEOFF TIEOFF[0,0] TIEOFF_X20Y39 |
CLBLM_R CLBLM_R_X19Y39 SLICEM SLICE[0,0] SLICE_X28Y39 SLICEL SLICE[1,0] SLICE_X29Y39 |
CLBLM_L CLBLM_L_X20Y39 SLICEM SLICE[0,0] SLICE_X30Y39 SLICEL SLICE[1,0] SLICE_X31Y39 |
INT_L INT_L_X20Y39 TIEOFF TIEOFF[0,0] TIEOFF_X21Y39 |
INT_R INT_R_X21Y39 TIEOFF TIEOFF[0,0] TIEOFF_X22Y39 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y39 |
BRAM_R BRAM_R_X21Y35 FIFO18E1 RAMB18[0,0] RAMB18_X0Y14 RAMB18E1 RAMB18[0,1] RAMB18_X0Y15 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y7 |
VBRK VBRK_X72Y41 |
CLBLL_L CLBLL_L_X22Y39 SLICEL SLICE[0,0] SLICE_X32Y39 SLICEL SLICE[1,0] SLICE_X33Y39 |
INT_L INT_L_X22Y39 TIEOFF TIEOFF[0,0] TIEOFF_X23Y39 |
INT_R INT_R_X23Y39 TIEOFF TIEOFF[0,0] TIEOFF_X24Y39 |
CLBLM_R CLBLM_R_X23Y39 SLICEM SLICE[0,0] SLICE_X34Y39 SLICEL SLICE[1,0] SLICE_X35Y39 |
CLBLL_L CLBLL_L_X24Y39 SLICEL SLICE[0,0] SLICE_X36Y39 SLICEL SLICE[1,0] SLICE_X37Y39 |
INT_L INT_L_X24Y39 TIEOFF TIEOFF[0,0] TIEOFF_X25Y39 |
INT_R INT_R_X25Y39 TIEOFF TIEOFF[0,0] TIEOFF_X26Y39 |
CLBLM_R CLBLM_R_X25Y39 SLICEM SLICE[0,0] SLICE_X38Y39 SLICEL SLICE[1,0] SLICE_X39Y39 |
VBRK VBRK_X81Y41 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y39 |
INT_L INT_L_X26Y39 TIEOFF TIEOFF[0,0] TIEOFF_X27Y39 |
INT_R INT_R_X27Y39 TIEOFF TIEOFF[0,0] TIEOFF_X28Y39 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y39 |
R_TERM_INT R_TERM_INT_X88Y41 |
|||||||||
LIOB33 LIOB33_X0Y37 IOB33S IOB[0,0] IOB_X0Y37 IOB33M IOB[0,1] IOB_X0Y38 |
LIOI3_TBYTETERM LIOI3_TBYTETERM_X0Y37 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y37 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y38 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y37 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y38 IDELAYE2 IDELAY[0,0] IDELAY_X0Y37 IDELAYE2 IDELAY[0,1] IDELAY_X0Y38 |
L_TERM_INT L_TERM_INT_X2Y40 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y38 |
INT_L INT_L_X0Y38 TIEOFF TIEOFF[0,0] TIEOFF_X0Y38 |
INT_R INT_R_X1Y38 TIEOFF TIEOFF[0,0] TIEOFF_X1Y38 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y38 |
VBRK VBRK_X9Y40 |
CLBLL_L CLBLL_L_X2Y38 SLICEL SLICE[0,0] SLICE_X0Y38 SLICEL SLICE[1,0] SLICE_X1Y38 |
INT_L INT_L_X2Y38 TIEOFF TIEOFF[0,0] TIEOFF_X2Y38 |
INT_R INT_R_X3Y38 TIEOFF TIEOFF[0,0] TIEOFF_X3Y38 |
CLBLM_R CLBLM_R_X3Y38 SLICEM SLICE[0,0] SLICE_X2Y38 SLICEL SLICE[1,0] SLICE_X3Y38 |
CLBLL_L CLBLL_L_X4Y38 SLICEL SLICE[0,0] SLICE_X4Y38 SLICEL SLICE[1,0] SLICE_X5Y38 |
INT_L INT_L_X4Y38 TIEOFF TIEOFF[0,0] TIEOFF_X4Y38 |
INT_R INT_R_X5Y38 TIEOFF TIEOFF[0,0] TIEOFF_X5Y38 |
CLBLM_R CLBLM_R_X5Y38 SLICEM SLICE[0,0] SLICE_X6Y38 SLICEL SLICE[1,0] SLICE_X7Y38 |
VBRK VBRK_X18Y40 |
CLBLL_L CLBLL_L_X6Y38 SLICEL SLICE[0,0] SLICE_X8Y38 SLICEL SLICE[1,0] SLICE_X9Y38 |
INT_L INT_L_X6Y38 TIEOFF TIEOFF[0,0] TIEOFF_X6Y38 |
INT_R INT_R_X7Y38 TIEOFF TIEOFF[0,0] TIEOFF_X7Y38 |
CLBLM_R CLBLM_R_X7Y38 SLICEM SLICE[0,0] SLICE_X10Y38 SLICEL SLICE[1,0] SLICE_X11Y38 |
CLBLL_L CLBLL_L_X8Y38 SLICEL SLICE[0,0] SLICE_X12Y38 SLICEL SLICE[1,0] SLICE_X13Y38 |
INT_L INT_L_X8Y38 TIEOFF TIEOFF[0,0] TIEOFF_X8Y38 |
INT_R INT_R_X9Y38 TIEOFF TIEOFF[0,0] TIEOFF_X9Y38 |
CLBLM_R CLBLM_R_X9Y38 SLICEM SLICE[0,0] SLICE_X14Y38 SLICEL SLICE[1,0] SLICE_X15Y38 |
VBRK VBRK_X27Y40 |
CLBLL_L CLBLL_L_X10Y38 SLICEL SLICE[0,0] SLICE_X16Y38 SLICEL SLICE[1,0] SLICE_X17Y38 |
INT_L INT_L_X10Y38 TIEOFF TIEOFF[0,0] TIEOFF_X10Y38 |
INT_R INT_R_X11Y38 TIEOFF TIEOFF[0,0] TIEOFF_X11Y38 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y38 |
CLK_FEED CLK_FEED_X32Y40 |
VBRK VBRK_X33Y40 |
CLBLM_L CLBLM_L_X12Y38 SLICEM SLICE[0,0] SLICE_X18Y38 SLICEL SLICE[1,0] SLICE_X19Y38 |
INT_L INT_L_X12Y38 TIEOFF TIEOFF[0,0] TIEOFF_X12Y38 |
INT_R INT_R_X13Y38 TIEOFF TIEOFF[0,0] TIEOFF_X13Y38 |
CLBLL_R CLBLL_R_X13Y38 SLICEL SLICE[0,0] SLICE_X20Y38 SLICEL SLICE[1,0] SLICE_X21Y38 |
VBRK VBRK_X38Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y40 |
VFRAME VFRAME_X51Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y38 |
INT_L INT_L_X14Y38 TIEOFF TIEOFF[0,0] TIEOFF_X14Y38 |
INT_R INT_R_X15Y38 TIEOFF TIEOFF[0,0] TIEOFF_X15Y38 |
CLBLM_R CLBLM_R_X15Y38 SLICEM SLICE[0,0] SLICE_X22Y38 SLICEL SLICE[1,0] SLICE_X23Y38 |
VBRK VBRK_X56Y40 |
CLBLM_L CLBLM_L_X16Y38 SLICEM SLICE[0,0] SLICE_X24Y38 SLICEL SLICE[1,0] SLICE_X25Y38 |
INT_L INT_L_X16Y38 TIEOFF TIEOFF[0,0] TIEOFF_X16Y38 |
INT_R INT_R_X17Y38 TIEOFF TIEOFF[0,0] TIEOFF_X17Y38 |
CLBLM_R CLBLM_R_X17Y38 SLICEM SLICE[0,0] SLICE_X26Y38 SLICEL SLICE[1,0] SLICE_X27Y38 |
VBRK VBRK_X61Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y38 |
INT_L INT_L_X18Y38 TIEOFF TIEOFF[0,0] TIEOFF_X19Y38 |
INT_R INT_R_X19Y38 TIEOFF TIEOFF[0,0] TIEOFF_X20Y38 |
CLBLM_R CLBLM_R_X19Y38 SLICEM SLICE[0,0] SLICE_X28Y38 SLICEL SLICE[1,0] SLICE_X29Y38 |
CLBLM_L CLBLM_L_X20Y38 SLICEM SLICE[0,0] SLICE_X30Y38 SLICEL SLICE[1,0] SLICE_X31Y38 |
INT_L INT_L_X20Y38 TIEOFF TIEOFF[0,0] TIEOFF_X21Y38 |
INT_R INT_R_X21Y38 TIEOFF TIEOFF[0,0] TIEOFF_X22Y38 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y38 |
VBRK VBRK_X72Y40 |
CLBLL_L CLBLL_L_X22Y38 SLICEL SLICE[0,0] SLICE_X32Y38 SLICEL SLICE[1,0] SLICE_X33Y38 |
INT_L INT_L_X22Y38 TIEOFF TIEOFF[0,0] TIEOFF_X23Y38 |
INT_R INT_R_X23Y38 TIEOFF TIEOFF[0,0] TIEOFF_X24Y38 |
CLBLM_R CLBLM_R_X23Y38 SLICEM SLICE[0,0] SLICE_X34Y38 SLICEL SLICE[1,0] SLICE_X35Y38 |
CLBLL_L CLBLL_L_X24Y38 SLICEL SLICE[0,0] SLICE_X36Y38 SLICEL SLICE[1,0] SLICE_X37Y38 |
INT_L INT_L_X24Y38 TIEOFF TIEOFF[0,0] TIEOFF_X25Y38 |
INT_R INT_R_X25Y38 TIEOFF TIEOFF[0,0] TIEOFF_X26Y38 |
CLBLM_R CLBLM_R_X25Y38 SLICEM SLICE[0,0] SLICE_X38Y38 SLICEL SLICE[1,0] SLICE_X39Y38 |
VBRK VBRK_X81Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y38 |
INT_L INT_L_X26Y38 TIEOFF TIEOFF[0,0] TIEOFF_X27Y38 |
INT_R INT_R_X27Y38 TIEOFF TIEOFF[0,0] TIEOFF_X28Y38 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y38 |
R_TERM_INT R_TERM_INT_X88Y40 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X27Y37 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y37 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y38 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y37 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y38 IDELAYE2 IDELAY[0,0] IDELAY_X1Y37 IDELAYE2 IDELAY[0,1] IDELAY_X1Y38 |
RIOB33 RIOB33_X27Y37 IOB33S IOB[0,0] IOB_X1Y37 IOB33M IOB[0,1] IOB_X1Y38 |
||||||||
L_TERM_INT L_TERM_INT_X2Y39 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y37 |
INT_L INT_L_X0Y37 TIEOFF TIEOFF[0,0] TIEOFF_X0Y37 |
INT_R INT_R_X1Y37 TIEOFF TIEOFF[0,0] TIEOFF_X1Y37 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y37 |
VBRK VBRK_X9Y39 |
CLBLL_L CLBLL_L_X2Y37 SLICEL SLICE[0,0] SLICE_X0Y37 SLICEL SLICE[1,0] SLICE_X1Y37 |
INT_L INT_L_X2Y37 TIEOFF TIEOFF[0,0] TIEOFF_X2Y37 |
INT_R INT_R_X3Y37 TIEOFF TIEOFF[0,0] TIEOFF_X3Y37 |
CLBLM_R CLBLM_R_X3Y37 SLICEM SLICE[0,0] SLICE_X2Y37 SLICEL SLICE[1,0] SLICE_X3Y37 |
CLBLL_L CLBLL_L_X4Y37 SLICEL SLICE[0,0] SLICE_X4Y37 SLICEL SLICE[1,0] SLICE_X5Y37 |
INT_L INT_L_X4Y37 TIEOFF TIEOFF[0,0] TIEOFF_X4Y37 |
INT_R INT_R_X5Y37 TIEOFF TIEOFF[0,0] TIEOFF_X5Y37 |
CLBLM_R CLBLM_R_X5Y37 SLICEM SLICE[0,0] SLICE_X6Y37 SLICEL SLICE[1,0] SLICE_X7Y37 |
VBRK VBRK_X18Y39 |
CLBLL_L CLBLL_L_X6Y37 SLICEL SLICE[0,0] SLICE_X8Y37 SLICEL SLICE[1,0] SLICE_X9Y37 |
INT_L INT_L_X6Y37 TIEOFF TIEOFF[0,0] TIEOFF_X6Y37 |
INT_R INT_R_X7Y37 TIEOFF TIEOFF[0,0] TIEOFF_X7Y37 |
CLBLM_R CLBLM_R_X7Y37 SLICEM SLICE[0,0] SLICE_X10Y37 SLICEL SLICE[1,0] SLICE_X11Y37 |
CLBLL_L CLBLL_L_X8Y37 SLICEL SLICE[0,0] SLICE_X12Y37 SLICEL SLICE[1,0] SLICE_X13Y37 |
INT_L INT_L_X8Y37 TIEOFF TIEOFF[0,0] TIEOFF_X8Y37 |
INT_R INT_R_X9Y37 TIEOFF TIEOFF[0,0] TIEOFF_X9Y37 |
CLBLM_R CLBLM_R_X9Y37 SLICEM SLICE[0,0] SLICE_X14Y37 SLICEL SLICE[1,0] SLICE_X15Y37 |
VBRK VBRK_X27Y39 |
CLBLL_L CLBLL_L_X10Y37 SLICEL SLICE[0,0] SLICE_X16Y37 SLICEL SLICE[1,0] SLICE_X17Y37 |
INT_L INT_L_X10Y37 TIEOFF TIEOFF[0,0] TIEOFF_X10Y37 |
INT_R INT_R_X11Y37 TIEOFF TIEOFF[0,0] TIEOFF_X11Y37 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y37 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X32Y38 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y32 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y33 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y34 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y35 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y36 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y37 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y38 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y39 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y40 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y41 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y42 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y43 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y44 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y45 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y46 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y47 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y32 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y33 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y34 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y35 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y36 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y37 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y38 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y39 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y40 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y41 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y42 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y43 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y44 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y45 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y46 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y47 |
VBRK VBRK_X33Y39 |
CLBLM_L CLBLM_L_X12Y37 SLICEM SLICE[0,0] SLICE_X18Y37 SLICEL SLICE[1,0] SLICE_X19Y37 |
INT_L INT_L_X12Y37 TIEOFF TIEOFF[0,0] TIEOFF_X12Y37 |
INT_R INT_R_X13Y37 TIEOFF TIEOFF[0,0] TIEOFF_X13Y37 |
CLBLL_R CLBLL_R_X13Y37 SLICEL SLICE[0,0] SLICE_X20Y37 SLICEL SLICE[1,0] SLICE_X21Y37 |
VBRK VBRK_X38Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y39 |
VFRAME VFRAME_X51Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y37 |
INT_L INT_L_X14Y37 TIEOFF TIEOFF[0,0] TIEOFF_X14Y37 |
INT_R INT_R_X15Y37 TIEOFF TIEOFF[0,0] TIEOFF_X15Y37 |
CLBLM_R CLBLM_R_X15Y37 SLICEM SLICE[0,0] SLICE_X22Y37 SLICEL SLICE[1,0] SLICE_X23Y37 |
VBRK VBRK_X56Y39 |
CLBLM_L CLBLM_L_X16Y37 SLICEM SLICE[0,0] SLICE_X24Y37 SLICEL SLICE[1,0] SLICE_X25Y37 |
INT_L INT_L_X16Y37 TIEOFF TIEOFF[0,0] TIEOFF_X16Y37 |
INT_R INT_R_X17Y37 TIEOFF TIEOFF[0,0] TIEOFF_X17Y37 |
CLBLM_R CLBLM_R_X17Y37 SLICEM SLICE[0,0] SLICE_X26Y37 SLICEL SLICE[1,0] SLICE_X27Y37 |
VBRK VBRK_X61Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y37 |
INT_L INT_L_X18Y37 TIEOFF TIEOFF[0,0] TIEOFF_X19Y37 |
INT_R INT_R_X19Y37 TIEOFF TIEOFF[0,0] TIEOFF_X20Y37 |
CLBLM_R CLBLM_R_X19Y37 SLICEM SLICE[0,0] SLICE_X28Y37 SLICEL SLICE[1,0] SLICE_X29Y37 |
CLBLM_L CLBLM_L_X20Y37 SLICEM SLICE[0,0] SLICE_X30Y37 SLICEL SLICE[1,0] SLICE_X31Y37 |
INT_L INT_L_X20Y37 TIEOFF TIEOFF[0,0] TIEOFF_X21Y37 |
INT_R INT_R_X21Y37 TIEOFF TIEOFF[0,0] TIEOFF_X22Y37 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y37 |
VBRK VBRK_X72Y39 |
CLBLL_L CLBLL_L_X22Y37 SLICEL SLICE[0,0] SLICE_X32Y37 SLICEL SLICE[1,0] SLICE_X33Y37 |
INT_L INT_L_X22Y37 TIEOFF TIEOFF[0,0] TIEOFF_X23Y37 |
INT_R INT_R_X23Y37 TIEOFF TIEOFF[0,0] TIEOFF_X24Y37 |
CLBLM_R CLBLM_R_X23Y37 SLICEM SLICE[0,0] SLICE_X34Y37 SLICEL SLICE[1,0] SLICE_X35Y37 |
CLBLL_L CLBLL_L_X24Y37 SLICEL SLICE[0,0] SLICE_X36Y37 SLICEL SLICE[1,0] SLICE_X37Y37 |
INT_L INT_L_X24Y37 TIEOFF TIEOFF[0,0] TIEOFF_X25Y37 |
INT_R INT_R_X25Y37 TIEOFF TIEOFF[0,0] TIEOFF_X26Y37 |
CLBLM_R CLBLM_R_X25Y37 SLICEM SLICE[0,0] SLICE_X38Y37 SLICEL SLICE[1,0] SLICE_X39Y37 |
VBRK VBRK_X81Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y37 |
INT_L INT_L_X26Y37 TIEOFF TIEOFF[0,0] TIEOFF_X27Y37 |
INT_R INT_R_X27Y37 TIEOFF TIEOFF[0,0] TIEOFF_X28Y37 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y37 |
R_TERM_INT R_TERM_INT_X88Y39 |
||||||||||||
LIOB33 LIOB33_X0Y35 IOB33S IOB[0,0] IOB_X0Y35 IOB33M IOB[0,1] IOB_X0Y36 |
LIOI3 LIOI3_X0Y35 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y35 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y36 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y35 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y36 IDELAYE2 IDELAY[0,0] IDELAY_X0Y35 IDELAYE2 IDELAY[0,1] IDELAY_X0Y36 |
L_TERM_INT L_TERM_INT_X2Y38 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y36 |
INT_L INT_L_X0Y36 TIEOFF TIEOFF[0,0] TIEOFF_X0Y36 |
INT_R INT_R_X1Y36 TIEOFF TIEOFF[0,0] TIEOFF_X1Y36 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y36 |
CMT_FIFO_R CMT_FIFO_R_X7Y33 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y2 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y2 |
CMT_TOP_R_UPPER_B CMT_TOP_R_UPPER_B_X8Y31 PHASER_REF PHASER_REF[0,0] PHASER_REF_X0Y0 PHY_CONTROL PHY_CONTROL[0,0] PHY_CONTROL_X0Y0 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y2 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y3 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y2 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y3 |
VBRK VBRK_X9Y38 |
CLBLL_L CLBLL_L_X2Y36 SLICEL SLICE[0,0] SLICE_X0Y36 SLICEL SLICE[1,0] SLICE_X1Y36 |
INT_L INT_L_X2Y36 TIEOFF TIEOFF[0,0] TIEOFF_X2Y36 |
INT_R INT_R_X3Y36 TIEOFF TIEOFF[0,0] TIEOFF_X3Y36 |
CLBLM_R CLBLM_R_X3Y36 SLICEM SLICE[0,0] SLICE_X2Y36 SLICEL SLICE[1,0] SLICE_X3Y36 |
CLBLL_L CLBLL_L_X4Y36 SLICEL SLICE[0,0] SLICE_X4Y36 SLICEL SLICE[1,0] SLICE_X5Y36 |
INT_L INT_L_X4Y36 TIEOFF TIEOFF[0,0] TIEOFF_X4Y36 |
INT_R INT_R_X5Y36 TIEOFF TIEOFF[0,0] TIEOFF_X5Y36 |
CLBLM_R CLBLM_R_X5Y36 SLICEM SLICE[0,0] SLICE_X6Y36 SLICEL SLICE[1,0] SLICE_X7Y36 |
VBRK VBRK_X18Y38 |
CLBLL_L CLBLL_L_X6Y36 SLICEL SLICE[0,0] SLICE_X8Y36 SLICEL SLICE[1,0] SLICE_X9Y36 |
INT_L INT_L_X6Y36 TIEOFF TIEOFF[0,0] TIEOFF_X6Y36 |
INT_R INT_R_X7Y36 TIEOFF TIEOFF[0,0] TIEOFF_X7Y36 |
CLBLM_R CLBLM_R_X7Y36 SLICEM SLICE[0,0] SLICE_X10Y36 SLICEL SLICE[1,0] SLICE_X11Y36 |
CLBLL_L CLBLL_L_X8Y36 SLICEL SLICE[0,0] SLICE_X12Y36 SLICEL SLICE[1,0] SLICE_X13Y36 |
INT_L INT_L_X8Y36 TIEOFF TIEOFF[0,0] TIEOFF_X8Y36 |
INT_R INT_R_X9Y36 TIEOFF TIEOFF[0,0] TIEOFF_X9Y36 |
CLBLM_R CLBLM_R_X9Y36 SLICEM SLICE[0,0] SLICE_X14Y36 SLICEL SLICE[1,0] SLICE_X15Y36 |
VBRK VBRK_X27Y38 |
CLBLL_L CLBLL_L_X10Y36 SLICEL SLICE[0,0] SLICE_X16Y36 SLICEL SLICE[1,0] SLICE_X17Y36 |
INT_L INT_L_X10Y36 TIEOFF TIEOFF[0,0] TIEOFF_X10Y36 |
INT_R INT_R_X11Y36 TIEOFF TIEOFF[0,0] TIEOFF_X11Y36 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y36 |
VBRK VBRK_X33Y38 |
CLBLM_L CLBLM_L_X12Y36 SLICEM SLICE[0,0] SLICE_X18Y36 SLICEL SLICE[1,0] SLICE_X19Y36 |
INT_L INT_L_X12Y36 TIEOFF TIEOFF[0,0] TIEOFF_X12Y36 |
INT_R INT_R_X13Y36 TIEOFF TIEOFF[0,0] TIEOFF_X13Y36 |
CLBLL_R CLBLL_R_X13Y36 SLICEL SLICE[0,0] SLICE_X20Y36 SLICEL SLICE[1,0] SLICE_X21Y36 |
VBRK VBRK_X38Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y38 |
VFRAME VFRAME_X51Y38 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y36 |
INT_L INT_L_X14Y36 TIEOFF TIEOFF[0,0] TIEOFF_X14Y36 |
INT_R INT_R_X15Y36 TIEOFF TIEOFF[0,0] TIEOFF_X15Y36 |
CLBLM_R CLBLM_R_X15Y36 SLICEM SLICE[0,0] SLICE_X22Y36 SLICEL SLICE[1,0] SLICE_X23Y36 |
VBRK VBRK_X56Y38 |
CLBLM_L CLBLM_L_X16Y36 SLICEM SLICE[0,0] SLICE_X24Y36 SLICEL SLICE[1,0] SLICE_X25Y36 |
INT_L INT_L_X16Y36 TIEOFF TIEOFF[0,0] TIEOFF_X16Y36 |
INT_R INT_R_X17Y36 TIEOFF TIEOFF[0,0] TIEOFF_X17Y36 |
CLBLM_R CLBLM_R_X17Y36 SLICEM SLICE[0,0] SLICE_X26Y36 SLICEL SLICE[1,0] SLICE_X27Y36 |
VBRK VBRK_X61Y38 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y36 |
INT_L INT_L_X18Y36 TIEOFF TIEOFF[0,0] TIEOFF_X19Y36 |
INT_R INT_R_X19Y36 TIEOFF TIEOFF[0,0] TIEOFF_X20Y36 |
CLBLM_R CLBLM_R_X19Y36 SLICEM SLICE[0,0] SLICE_X28Y36 SLICEL SLICE[1,0] SLICE_X29Y36 |
CLBLM_L CLBLM_L_X20Y36 SLICEM SLICE[0,0] SLICE_X30Y36 SLICEL SLICE[1,0] SLICE_X31Y36 |
INT_L INT_L_X20Y36 TIEOFF TIEOFF[0,0] TIEOFF_X21Y36 |
INT_R INT_R_X21Y36 TIEOFF TIEOFF[0,0] TIEOFF_X22Y36 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y36 |
VBRK VBRK_X72Y38 |
CLBLL_L CLBLL_L_X22Y36 SLICEL SLICE[0,0] SLICE_X32Y36 SLICEL SLICE[1,0] SLICE_X33Y36 |
INT_L INT_L_X22Y36 TIEOFF TIEOFF[0,0] TIEOFF_X23Y36 |
INT_R INT_R_X23Y36 TIEOFF TIEOFF[0,0] TIEOFF_X24Y36 |
CLBLM_R CLBLM_R_X23Y36 SLICEM SLICE[0,0] SLICE_X34Y36 SLICEL SLICE[1,0] SLICE_X35Y36 |
CLBLL_L CLBLL_L_X24Y36 SLICEL SLICE[0,0] SLICE_X36Y36 SLICEL SLICE[1,0] SLICE_X37Y36 |
INT_L INT_L_X24Y36 TIEOFF TIEOFF[0,0] TIEOFF_X25Y36 |
INT_R INT_R_X25Y36 TIEOFF TIEOFF[0,0] TIEOFF_X26Y36 |
CLBLM_R CLBLM_R_X25Y36 SLICEM SLICE[0,0] SLICE_X38Y36 SLICEL SLICE[1,0] SLICE_X39Y36 |
VBRK VBRK_X81Y38 |
CMT_TOP_L_UPPER_B CMT_TOP_L_UPPER_B_X82Y31 PHASER_REF PHASER_REF[0,0] PHASER_REF_X1Y0 PHY_CONTROL PHY_CONTROL[0,0] PHY_CONTROL_X1Y0 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X1Y2 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X1Y3 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X1Y2 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X1Y3 |
CMT_FIFO_L CMT_FIFO_L_X83Y33 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X1Y2 IN_FIFO IN_FIFO[0,0] IN_FIFO_X1Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y36 |
INT_L INT_L_X26Y36 TIEOFF TIEOFF[0,0] TIEOFF_X27Y36 |
INT_R INT_R_X27Y36 TIEOFF TIEOFF[0,0] TIEOFF_X28Y36 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y36 |
R_TERM_INT R_TERM_INT_X88Y38 |
RIOI3 RIOI3_X27Y35 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y35 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y36 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y35 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y36 IDELAYE2 IDELAY[0,0] IDELAY_X1Y35 IDELAYE2 IDELAY[0,1] IDELAY_X1Y36 |
RIOB33 RIOB33_X27Y35 IOB33S IOB[0,0] IOB_X1Y35 IOB33M IOB[0,1] IOB_X1Y36 |
|||||
L_TERM_INT L_TERM_INT_X2Y37 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y35 |
INT_L INT_L_X0Y35 TIEOFF TIEOFF[0,0] TIEOFF_X0Y35 |
INT_R INT_R_X1Y35 TIEOFF TIEOFF[0,0] TIEOFF_X1Y35 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y35 |
VBRK VBRK_X9Y37 |
CLBLL_L CLBLL_L_X2Y35 SLICEL SLICE[0,0] SLICE_X0Y35 SLICEL SLICE[1,0] SLICE_X1Y35 |
INT_L INT_L_X2Y35 TIEOFF TIEOFF[0,0] TIEOFF_X2Y35 |
INT_R INT_R_X3Y35 TIEOFF TIEOFF[0,0] TIEOFF_X3Y35 |
CLBLM_R CLBLM_R_X3Y35 SLICEM SLICE[0,0] SLICE_X2Y35 SLICEL SLICE[1,0] SLICE_X3Y35 |
CLBLL_L CLBLL_L_X4Y35 SLICEL SLICE[0,0] SLICE_X4Y35 SLICEL SLICE[1,0] SLICE_X5Y35 |
INT_L INT_L_X4Y35 TIEOFF TIEOFF[0,0] TIEOFF_X4Y35 |
INT_R INT_R_X5Y35 TIEOFF TIEOFF[0,0] TIEOFF_X5Y35 |
CLBLM_R CLBLM_R_X5Y35 SLICEM SLICE[0,0] SLICE_X6Y35 SLICEL SLICE[1,0] SLICE_X7Y35 |
VBRK VBRK_X18Y37 |
CLBLL_L CLBLL_L_X6Y35 SLICEL SLICE[0,0] SLICE_X8Y35 SLICEL SLICE[1,0] SLICE_X9Y35 |
INT_L INT_L_X6Y35 TIEOFF TIEOFF[0,0] TIEOFF_X6Y35 |
INT_R INT_R_X7Y35 TIEOFF TIEOFF[0,0] TIEOFF_X7Y35 |
CLBLM_R CLBLM_R_X7Y35 SLICEM SLICE[0,0] SLICE_X10Y35 SLICEL SLICE[1,0] SLICE_X11Y35 |
CLBLL_L CLBLL_L_X8Y35 SLICEL SLICE[0,0] SLICE_X12Y35 SLICEL SLICE[1,0] SLICE_X13Y35 |
INT_L INT_L_X8Y35 TIEOFF TIEOFF[0,0] TIEOFF_X8Y35 |
INT_R INT_R_X9Y35 TIEOFF TIEOFF[0,0] TIEOFF_X9Y35 |
CLBLM_R CLBLM_R_X9Y35 SLICEM SLICE[0,0] SLICE_X14Y35 SLICEL SLICE[1,0] SLICE_X15Y35 |
VBRK VBRK_X27Y37 |
CLBLL_L CLBLL_L_X10Y35 SLICEL SLICE[0,0] SLICE_X16Y35 SLICEL SLICE[1,0] SLICE_X17Y35 |
INT_L INT_L_X10Y35 TIEOFF TIEOFF[0,0] TIEOFF_X10Y35 |
INT_R INT_R_X11Y35 TIEOFF TIEOFF[0,0] TIEOFF_X11Y35 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y35 |
CLK_FEED CLK_FEED_X32Y37 |
VBRK VBRK_X33Y37 |
CLBLM_L CLBLM_L_X12Y35 SLICEM SLICE[0,0] SLICE_X18Y35 SLICEL SLICE[1,0] SLICE_X19Y35 |
INT_L INT_L_X12Y35 TIEOFF TIEOFF[0,0] TIEOFF_X12Y35 |
INT_R INT_R_X13Y35 TIEOFF TIEOFF[0,0] TIEOFF_X13Y35 |
CLBLL_R CLBLL_R_X13Y35 SLICEL SLICE[0,0] SLICE_X20Y35 SLICEL SLICE[1,0] SLICE_X21Y35 |
VBRK VBRK_X38Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y37 |
VFRAME VFRAME_X51Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y35 |
INT_L INT_L_X14Y35 TIEOFF TIEOFF[0,0] TIEOFF_X14Y35 |
INT_R INT_R_X15Y35 TIEOFF TIEOFF[0,0] TIEOFF_X15Y35 |
CLBLM_R CLBLM_R_X15Y35 SLICEM SLICE[0,0] SLICE_X22Y35 SLICEL SLICE[1,0] SLICE_X23Y35 |
VBRK VBRK_X56Y37 |
CLBLM_L CLBLM_L_X16Y35 SLICEM SLICE[0,0] SLICE_X24Y35 SLICEL SLICE[1,0] SLICE_X25Y35 |
INT_L INT_L_X16Y35 TIEOFF TIEOFF[0,0] TIEOFF_X16Y35 |
INT_R INT_R_X17Y35 TIEOFF TIEOFF[0,0] TIEOFF_X17Y35 |
CLBLM_R CLBLM_R_X17Y35 SLICEM SLICE[0,0] SLICE_X26Y35 SLICEL SLICE[1,0] SLICE_X27Y35 |
VBRK VBRK_X61Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y35 |
INT_L INT_L_X18Y35 TIEOFF TIEOFF[0,0] TIEOFF_X19Y35 |
INT_R INT_R_X19Y35 TIEOFF TIEOFF[0,0] TIEOFF_X20Y35 |
CLBLM_R CLBLM_R_X19Y35 SLICEM SLICE[0,0] SLICE_X28Y35 SLICEL SLICE[1,0] SLICE_X29Y35 |
CLBLM_L CLBLM_L_X20Y35 SLICEM SLICE[0,0] SLICE_X30Y35 SLICEL SLICE[1,0] SLICE_X31Y35 |
INT_L INT_L_X20Y35 TIEOFF TIEOFF[0,0] TIEOFF_X21Y35 |
INT_R INT_R_X21Y35 TIEOFF TIEOFF[0,0] TIEOFF_X22Y35 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y35 |
VBRK VBRK_X72Y37 |
CLBLL_L CLBLL_L_X22Y35 SLICEL SLICE[0,0] SLICE_X32Y35 SLICEL SLICE[1,0] SLICE_X33Y35 |
INT_L INT_L_X22Y35 TIEOFF TIEOFF[0,0] TIEOFF_X23Y35 |
INT_R INT_R_X23Y35 TIEOFF TIEOFF[0,0] TIEOFF_X24Y35 |
CLBLM_R CLBLM_R_X23Y35 SLICEM SLICE[0,0] SLICE_X34Y35 SLICEL SLICE[1,0] SLICE_X35Y35 |
CLBLL_L CLBLL_L_X24Y35 SLICEL SLICE[0,0] SLICE_X36Y35 SLICEL SLICE[1,0] SLICE_X37Y35 |
INT_L INT_L_X24Y35 TIEOFF TIEOFF[0,0] TIEOFF_X25Y35 |
INT_R INT_R_X25Y35 TIEOFF TIEOFF[0,0] TIEOFF_X26Y35 |
CLBLM_R CLBLM_R_X25Y35 SLICEM SLICE[0,0] SLICE_X38Y35 SLICEL SLICE[1,0] SLICE_X39Y35 |
VBRK VBRK_X81Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y35 |
INT_L INT_L_X26Y35 TIEOFF TIEOFF[0,0] TIEOFF_X27Y35 |
INT_R INT_R_X27Y35 TIEOFF TIEOFF[0,0] TIEOFF_X28Y35 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y35 |
R_TERM_INT R_TERM_INT_X88Y37 |
||||||||||||
LIOB33 LIOB33_X0Y33 IOB33S IOB[0,0] IOB_X0Y33 IOB33M IOB[0,1] IOB_X0Y34 |
LIOI3 LIOI3_X0Y33 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y33 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y34 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y33 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y34 IDELAYE2 IDELAY[0,0] IDELAY_X0Y33 IDELAYE2 IDELAY[0,1] IDELAY_X0Y34 |
L_TERM_INT L_TERM_INT_X2Y36 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y34 |
INT_L INT_L_X0Y34 TIEOFF TIEOFF[0,0] TIEOFF_X0Y34 |
INT_R INT_R_X1Y34 TIEOFF TIEOFF[0,0] TIEOFF_X1Y34 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y34 |
VBRK VBRK_X9Y36 |
CLBLL_L CLBLL_L_X2Y34 SLICEL SLICE[0,0] SLICE_X0Y34 SLICEL SLICE[1,0] SLICE_X1Y34 |
INT_L INT_L_X2Y34 TIEOFF TIEOFF[0,0] TIEOFF_X2Y34 |
INT_R INT_R_X3Y34 TIEOFF TIEOFF[0,0] TIEOFF_X3Y34 |
CLBLM_R CLBLM_R_X3Y34 SLICEM SLICE[0,0] SLICE_X2Y34 SLICEL SLICE[1,0] SLICE_X3Y34 |
CLBLL_L CLBLL_L_X4Y34 SLICEL SLICE[0,0] SLICE_X4Y34 SLICEL SLICE[1,0] SLICE_X5Y34 |
INT_L INT_L_X4Y34 TIEOFF TIEOFF[0,0] TIEOFF_X4Y34 |
INT_R INT_R_X5Y34 TIEOFF TIEOFF[0,0] TIEOFF_X5Y34 |
CLBLM_R CLBLM_R_X5Y34 SLICEM SLICE[0,0] SLICE_X6Y34 SLICEL SLICE[1,0] SLICE_X7Y34 |
VBRK VBRK_X18Y36 |
CLBLL_L CLBLL_L_X6Y34 SLICEL SLICE[0,0] SLICE_X8Y34 SLICEL SLICE[1,0] SLICE_X9Y34 |
INT_L INT_L_X6Y34 TIEOFF TIEOFF[0,0] TIEOFF_X6Y34 |
INT_R INT_R_X7Y34 TIEOFF TIEOFF[0,0] TIEOFF_X7Y34 |
CLBLM_R CLBLM_R_X7Y34 SLICEM SLICE[0,0] SLICE_X10Y34 SLICEL SLICE[1,0] SLICE_X11Y34 |
CLBLL_L CLBLL_L_X8Y34 SLICEL SLICE[0,0] SLICE_X12Y34 SLICEL SLICE[1,0] SLICE_X13Y34 |
INT_L INT_L_X8Y34 TIEOFF TIEOFF[0,0] TIEOFF_X8Y34 |
INT_R INT_R_X9Y34 TIEOFF TIEOFF[0,0] TIEOFF_X9Y34 |
CLBLM_R CLBLM_R_X9Y34 SLICEM SLICE[0,0] SLICE_X14Y34 SLICEL SLICE[1,0] SLICE_X15Y34 |
VBRK VBRK_X27Y36 |
CLBLL_L CLBLL_L_X10Y34 SLICEL SLICE[0,0] SLICE_X16Y34 SLICEL SLICE[1,0] SLICE_X17Y34 |
INT_L INT_L_X10Y34 TIEOFF TIEOFF[0,0] TIEOFF_X10Y34 |
INT_R INT_R_X11Y34 TIEOFF TIEOFF[0,0] TIEOFF_X11Y34 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y34 |
CLK_FEED CLK_FEED_X32Y36 |
VBRK VBRK_X33Y36 |
CLBLM_L CLBLM_L_X12Y34 SLICEM SLICE[0,0] SLICE_X18Y34 SLICEL SLICE[1,0] SLICE_X19Y34 |
INT_L INT_L_X12Y34 TIEOFF TIEOFF[0,0] TIEOFF_X12Y34 |
INT_R INT_R_X13Y34 TIEOFF TIEOFF[0,0] TIEOFF_X13Y34 |
CLBLL_R CLBLL_R_X13Y34 SLICEL SLICE[0,0] SLICE_X20Y34 SLICEL SLICE[1,0] SLICE_X21Y34 |
VBRK VBRK_X38Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y36 |
VFRAME VFRAME_X51Y36 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y34 |
INT_L INT_L_X14Y34 TIEOFF TIEOFF[0,0] TIEOFF_X14Y34 |
INT_R INT_R_X15Y34 TIEOFF TIEOFF[0,0] TIEOFF_X15Y34 |
CLBLM_R CLBLM_R_X15Y34 SLICEM SLICE[0,0] SLICE_X22Y34 SLICEL SLICE[1,0] SLICE_X23Y34 |
VBRK VBRK_X56Y36 |
CLBLM_L CLBLM_L_X16Y34 SLICEM SLICE[0,0] SLICE_X24Y34 SLICEL SLICE[1,0] SLICE_X25Y34 |
INT_L INT_L_X16Y34 TIEOFF TIEOFF[0,0] TIEOFF_X16Y34 |
INT_R INT_R_X17Y34 TIEOFF TIEOFF[0,0] TIEOFF_X17Y34 |
CLBLM_R CLBLM_R_X17Y34 SLICEM SLICE[0,0] SLICE_X26Y34 SLICEL SLICE[1,0] SLICE_X27Y34 |
VBRK VBRK_X61Y36 |
DSP_L DSP_L_X18Y30 TIEOFF TIEOFF[0,0] TIEOFF_X18Y30 DSP48E1 DSP48[0,0] DSP48_X0Y12 DSP48E1 DSP48[0,1] DSP48_X0Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y34 |
INT_L INT_L_X18Y34 TIEOFF TIEOFF[0,0] TIEOFF_X19Y34 |
INT_R INT_R_X19Y34 TIEOFF TIEOFF[0,0] TIEOFF_X20Y34 |
CLBLM_R CLBLM_R_X19Y34 SLICEM SLICE[0,0] SLICE_X28Y34 SLICEL SLICE[1,0] SLICE_X29Y34 |
CLBLM_L CLBLM_L_X20Y34 SLICEM SLICE[0,0] SLICE_X30Y34 SLICEL SLICE[1,0] SLICE_X31Y34 |
INT_L INT_L_X20Y34 TIEOFF TIEOFF[0,0] TIEOFF_X21Y34 |
INT_R INT_R_X21Y34 TIEOFF TIEOFF[0,0] TIEOFF_X22Y34 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y34 |
BRAM_R BRAM_R_X21Y30 FIFO18E1 RAMB18[0,0] RAMB18_X0Y12 RAMB18E1 RAMB18[0,1] RAMB18_X0Y13 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y6 |
VBRK VBRK_X72Y36 |
CLBLL_L CLBLL_L_X22Y34 SLICEL SLICE[0,0] SLICE_X32Y34 SLICEL SLICE[1,0] SLICE_X33Y34 |
INT_L INT_L_X22Y34 TIEOFF TIEOFF[0,0] TIEOFF_X23Y34 |
INT_R INT_R_X23Y34 TIEOFF TIEOFF[0,0] TIEOFF_X24Y34 |
CLBLM_R CLBLM_R_X23Y34 SLICEM SLICE[0,0] SLICE_X34Y34 SLICEL SLICE[1,0] SLICE_X35Y34 |
CLBLL_L CLBLL_L_X24Y34 SLICEL SLICE[0,0] SLICE_X36Y34 SLICEL SLICE[1,0] SLICE_X37Y34 |
INT_L INT_L_X24Y34 TIEOFF TIEOFF[0,0] TIEOFF_X25Y34 |
INT_R INT_R_X25Y34 TIEOFF TIEOFF[0,0] TIEOFF_X26Y34 |
CLBLM_R CLBLM_R_X25Y34 SLICEM SLICE[0,0] SLICE_X38Y34 SLICEL SLICE[1,0] SLICE_X39Y34 |
VBRK VBRK_X81Y36 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y34 |
INT_L INT_L_X26Y34 TIEOFF TIEOFF[0,0] TIEOFF_X27Y34 |
INT_R INT_R_X27Y34 TIEOFF TIEOFF[0,0] TIEOFF_X28Y34 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y34 |
R_TERM_INT R_TERM_INT_X88Y36 |
RIOI3 RIOI3_X27Y33 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y33 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y34 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y33 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y34 IDELAYE2 IDELAY[0,0] IDELAY_X1Y33 IDELAYE2 IDELAY[0,1] IDELAY_X1Y34 |
RIOB33 RIOB33_X27Y33 IOB33S IOB[0,0] IOB_X1Y33 IOB33M IOB[0,1] IOB_X1Y34 |
||||||
L_TERM_INT L_TERM_INT_X2Y35 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y33 |
INT_L INT_L_X0Y33 TIEOFF TIEOFF[0,0] TIEOFF_X0Y33 |
INT_R INT_R_X1Y33 TIEOFF TIEOFF[0,0] TIEOFF_X1Y33 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y33 |
VBRK VBRK_X9Y35 |
CLBLL_L CLBLL_L_X2Y33 SLICEL SLICE[0,0] SLICE_X0Y33 SLICEL SLICE[1,0] SLICE_X1Y33 |
INT_L INT_L_X2Y33 TIEOFF TIEOFF[0,0] TIEOFF_X2Y33 |
INT_R INT_R_X3Y33 TIEOFF TIEOFF[0,0] TIEOFF_X3Y33 |
CLBLM_R CLBLM_R_X3Y33 SLICEM SLICE[0,0] SLICE_X2Y33 SLICEL SLICE[1,0] SLICE_X3Y33 |
CLBLL_L CLBLL_L_X4Y33 SLICEL SLICE[0,0] SLICE_X4Y33 SLICEL SLICE[1,0] SLICE_X5Y33 |
INT_L INT_L_X4Y33 TIEOFF TIEOFF[0,0] TIEOFF_X4Y33 |
INT_R INT_R_X5Y33 TIEOFF TIEOFF[0,0] TIEOFF_X5Y33 |
CLBLM_R CLBLM_R_X5Y33 SLICEM SLICE[0,0] SLICE_X6Y33 SLICEL SLICE[1,0] SLICE_X7Y33 |
VBRK VBRK_X18Y35 |
CLBLL_L CLBLL_L_X6Y33 SLICEL SLICE[0,0] SLICE_X8Y33 SLICEL SLICE[1,0] SLICE_X9Y33 |
INT_L INT_L_X6Y33 TIEOFF TIEOFF[0,0] TIEOFF_X6Y33 |
INT_R INT_R_X7Y33 TIEOFF TIEOFF[0,0] TIEOFF_X7Y33 |
CLBLM_R CLBLM_R_X7Y33 SLICEM SLICE[0,0] SLICE_X10Y33 SLICEL SLICE[1,0] SLICE_X11Y33 |
CLBLL_L CLBLL_L_X8Y33 SLICEL SLICE[0,0] SLICE_X12Y33 SLICEL SLICE[1,0] SLICE_X13Y33 |
INT_L INT_L_X8Y33 TIEOFF TIEOFF[0,0] TIEOFF_X8Y33 |
INT_R INT_R_X9Y33 TIEOFF TIEOFF[0,0] TIEOFF_X9Y33 |
CLBLM_R CLBLM_R_X9Y33 SLICEM SLICE[0,0] SLICE_X14Y33 SLICEL SLICE[1,0] SLICE_X15Y33 |
VBRK VBRK_X27Y35 |
CLBLL_L CLBLL_L_X10Y33 SLICEL SLICE[0,0] SLICE_X16Y33 SLICEL SLICE[1,0] SLICE_X17Y33 |
INT_L INT_L_X10Y33 TIEOFF TIEOFF[0,0] TIEOFF_X10Y33 |
INT_R INT_R_X11Y33 TIEOFF TIEOFF[0,0] TIEOFF_X11Y33 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y33 |
CLK_FEED CLK_FEED_X32Y35 |
VBRK VBRK_X33Y35 |
CLBLM_L CLBLM_L_X12Y33 SLICEM SLICE[0,0] SLICE_X18Y33 SLICEL SLICE[1,0] SLICE_X19Y33 |
INT_L INT_L_X12Y33 TIEOFF TIEOFF[0,0] TIEOFF_X12Y33 |
INT_R INT_R_X13Y33 TIEOFF TIEOFF[0,0] TIEOFF_X13Y33 |
CLBLL_R CLBLL_R_X13Y33 SLICEL SLICE[0,0] SLICE_X20Y33 SLICEL SLICE[1,0] SLICE_X21Y33 |
VBRK VBRK_X38Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y35 |
VFRAME VFRAME_X51Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y33 |
INT_L INT_L_X14Y33 TIEOFF TIEOFF[0,0] TIEOFF_X14Y33 |
INT_R INT_R_X15Y33 TIEOFF TIEOFF[0,0] TIEOFF_X15Y33 |
CLBLM_R CLBLM_R_X15Y33 SLICEM SLICE[0,0] SLICE_X22Y33 SLICEL SLICE[1,0] SLICE_X23Y33 |
VBRK VBRK_X56Y35 |
CLBLM_L CLBLM_L_X16Y33 SLICEM SLICE[0,0] SLICE_X24Y33 SLICEL SLICE[1,0] SLICE_X25Y33 |
INT_L INT_L_X16Y33 TIEOFF TIEOFF[0,0] TIEOFF_X16Y33 |
INT_R INT_R_X17Y33 TIEOFF TIEOFF[0,0] TIEOFF_X17Y33 |
CLBLM_R CLBLM_R_X17Y33 SLICEM SLICE[0,0] SLICE_X26Y33 SLICEL SLICE[1,0] SLICE_X27Y33 |
VBRK VBRK_X61Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y33 |
INT_L INT_L_X18Y33 TIEOFF TIEOFF[0,0] TIEOFF_X19Y33 |
INT_R INT_R_X19Y33 TIEOFF TIEOFF[0,0] TIEOFF_X20Y33 |
CLBLM_R CLBLM_R_X19Y33 SLICEM SLICE[0,0] SLICE_X28Y33 SLICEL SLICE[1,0] SLICE_X29Y33 |
CLBLM_L CLBLM_L_X20Y33 SLICEM SLICE[0,0] SLICE_X30Y33 SLICEL SLICE[1,0] SLICE_X31Y33 |
INT_L INT_L_X20Y33 TIEOFF TIEOFF[0,0] TIEOFF_X21Y33 |
INT_R INT_R_X21Y33 TIEOFF TIEOFF[0,0] TIEOFF_X22Y33 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y33 |
VBRK VBRK_X72Y35 |
CLBLL_L CLBLL_L_X22Y33 SLICEL SLICE[0,0] SLICE_X32Y33 SLICEL SLICE[1,0] SLICE_X33Y33 |
INT_L INT_L_X22Y33 TIEOFF TIEOFF[0,0] TIEOFF_X23Y33 |
INT_R INT_R_X23Y33 TIEOFF TIEOFF[0,0] TIEOFF_X24Y33 |
CLBLM_R CLBLM_R_X23Y33 SLICEM SLICE[0,0] SLICE_X34Y33 SLICEL SLICE[1,0] SLICE_X35Y33 |
CLBLL_L CLBLL_L_X24Y33 SLICEL SLICE[0,0] SLICE_X36Y33 SLICEL SLICE[1,0] SLICE_X37Y33 |
INT_L INT_L_X24Y33 TIEOFF TIEOFF[0,0] TIEOFF_X25Y33 |
INT_R INT_R_X25Y33 TIEOFF TIEOFF[0,0] TIEOFF_X26Y33 |
CLBLM_R CLBLM_R_X25Y33 SLICEM SLICE[0,0] SLICE_X38Y33 SLICEL SLICE[1,0] SLICE_X39Y33 |
VBRK VBRK_X81Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y33 |
INT_L INT_L_X26Y33 TIEOFF TIEOFF[0,0] TIEOFF_X27Y33 |
INT_R INT_R_X27Y33 TIEOFF TIEOFF[0,0] TIEOFF_X28Y33 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y33 |
R_TERM_INT R_TERM_INT_X88Y35 |
||||||||||||
LIOB33 LIOB33_X0Y31 IOB33S IOB[0,0] IOB_X0Y31 IOB33M IOB[0,1] IOB_X0Y32 |
LIOI3_TBYTESRC LIOI3_TBYTESRC_X0Y31 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y31 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y32 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y31 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y32 IDELAYE2 IDELAY[0,0] IDELAY_X0Y31 IDELAYE2 IDELAY[0,1] IDELAY_X0Y32 |
L_TERM_INT L_TERM_INT_X2Y34 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y32 |
INT_L INT_L_X0Y32 TIEOFF TIEOFF[0,0] TIEOFF_X0Y32 |
INT_R INT_R_X1Y32 TIEOFF TIEOFF[0,0] TIEOFF_X1Y32 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y32 |
VBRK VBRK_X9Y34 |
CLBLL_L CLBLL_L_X2Y32 SLICEL SLICE[0,0] SLICE_X0Y32 SLICEL SLICE[1,0] SLICE_X1Y32 |
INT_L INT_L_X2Y32 TIEOFF TIEOFF[0,0] TIEOFF_X2Y32 |
INT_R INT_R_X3Y32 TIEOFF TIEOFF[0,0] TIEOFF_X3Y32 |
CLBLM_R CLBLM_R_X3Y32 SLICEM SLICE[0,0] SLICE_X2Y32 SLICEL SLICE[1,0] SLICE_X3Y32 |
CLBLL_L CLBLL_L_X4Y32 SLICEL SLICE[0,0] SLICE_X4Y32 SLICEL SLICE[1,0] SLICE_X5Y32 |
INT_L INT_L_X4Y32 TIEOFF TIEOFF[0,0] TIEOFF_X4Y32 |
INT_R INT_R_X5Y32 TIEOFF TIEOFF[0,0] TIEOFF_X5Y32 |
CLBLM_R CLBLM_R_X5Y32 SLICEM SLICE[0,0] SLICE_X6Y32 SLICEL SLICE[1,0] SLICE_X7Y32 |
VBRK VBRK_X18Y34 |
CLBLL_L CLBLL_L_X6Y32 SLICEL SLICE[0,0] SLICE_X8Y32 SLICEL SLICE[1,0] SLICE_X9Y32 |
INT_L INT_L_X6Y32 TIEOFF TIEOFF[0,0] TIEOFF_X6Y32 |
INT_R INT_R_X7Y32 TIEOFF TIEOFF[0,0] TIEOFF_X7Y32 |
CLBLM_R CLBLM_R_X7Y32 SLICEM SLICE[0,0] SLICE_X10Y32 SLICEL SLICE[1,0] SLICE_X11Y32 |
CLBLL_L CLBLL_L_X8Y32 SLICEL SLICE[0,0] SLICE_X12Y32 SLICEL SLICE[1,0] SLICE_X13Y32 |
INT_L INT_L_X8Y32 TIEOFF TIEOFF[0,0] TIEOFF_X8Y32 |
INT_R INT_R_X9Y32 TIEOFF TIEOFF[0,0] TIEOFF_X9Y32 |
CLBLM_R CLBLM_R_X9Y32 SLICEM SLICE[0,0] SLICE_X14Y32 SLICEL SLICE[1,0] SLICE_X15Y32 |
VBRK VBRK_X27Y34 |
CLBLL_L CLBLL_L_X10Y32 SLICEL SLICE[0,0] SLICE_X16Y32 SLICEL SLICE[1,0] SLICE_X17Y32 |
INT_L INT_L_X10Y32 TIEOFF TIEOFF[0,0] TIEOFF_X10Y32 |
INT_R INT_R_X11Y32 TIEOFF TIEOFF[0,0] TIEOFF_X11Y32 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y32 |
CLK_PMV2_SVT CLK_PMV2_SVT_X32Y34 PMV2_SVT PMV[0,0] PMV_X0Y1 |
VBRK VBRK_X33Y34 |
CLBLM_L CLBLM_L_X12Y32 SLICEM SLICE[0,0] SLICE_X18Y32 SLICEL SLICE[1,0] SLICE_X19Y32 |
INT_L INT_L_X12Y32 TIEOFF TIEOFF[0,0] TIEOFF_X12Y32 |
INT_R INT_R_X13Y32 TIEOFF TIEOFF[0,0] TIEOFF_X13Y32 |
CLBLL_R CLBLL_R_X13Y32 SLICEL SLICE[0,0] SLICE_X20Y32 SLICEL SLICE[1,0] SLICE_X21Y32 |
VBRK VBRK_X38Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y34 |
VFRAME VFRAME_X51Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y32 |
INT_L INT_L_X14Y32 TIEOFF TIEOFF[0,0] TIEOFF_X14Y32 |
INT_R INT_R_X15Y32 TIEOFF TIEOFF[0,0] TIEOFF_X15Y32 |
CLBLM_R CLBLM_R_X15Y32 SLICEM SLICE[0,0] SLICE_X22Y32 SLICEL SLICE[1,0] SLICE_X23Y32 |
VBRK VBRK_X56Y34 |
CLBLM_L CLBLM_L_X16Y32 SLICEM SLICE[0,0] SLICE_X24Y32 SLICEL SLICE[1,0] SLICE_X25Y32 |
INT_L INT_L_X16Y32 TIEOFF TIEOFF[0,0] TIEOFF_X16Y32 |
INT_R INT_R_X17Y32 TIEOFF TIEOFF[0,0] TIEOFF_X17Y32 |
CLBLM_R CLBLM_R_X17Y32 SLICEM SLICE[0,0] SLICE_X26Y32 SLICEL SLICE[1,0] SLICE_X27Y32 |
VBRK VBRK_X61Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y32 |
INT_L INT_L_X18Y32 TIEOFF TIEOFF[0,0] TIEOFF_X19Y32 |
INT_R INT_R_X19Y32 TIEOFF TIEOFF[0,0] TIEOFF_X20Y32 |
CLBLM_R CLBLM_R_X19Y32 SLICEM SLICE[0,0] SLICE_X28Y32 SLICEL SLICE[1,0] SLICE_X29Y32 |
CLBLM_L CLBLM_L_X20Y32 SLICEM SLICE[0,0] SLICE_X30Y32 SLICEL SLICE[1,0] SLICE_X31Y32 |
INT_L INT_L_X20Y32 TIEOFF TIEOFF[0,0] TIEOFF_X21Y32 |
INT_R INT_R_X21Y32 TIEOFF TIEOFF[0,0] TIEOFF_X22Y32 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y32 |
VBRK VBRK_X72Y34 |
CLBLL_L CLBLL_L_X22Y32 SLICEL SLICE[0,0] SLICE_X32Y32 SLICEL SLICE[1,0] SLICE_X33Y32 |
INT_L INT_L_X22Y32 TIEOFF TIEOFF[0,0] TIEOFF_X23Y32 |
INT_R INT_R_X23Y32 TIEOFF TIEOFF[0,0] TIEOFF_X24Y32 |
CLBLM_R CLBLM_R_X23Y32 SLICEM SLICE[0,0] SLICE_X34Y32 SLICEL SLICE[1,0] SLICE_X35Y32 |
CLBLL_L CLBLL_L_X24Y32 SLICEL SLICE[0,0] SLICE_X36Y32 SLICEL SLICE[1,0] SLICE_X37Y32 |
INT_L INT_L_X24Y32 TIEOFF TIEOFF[0,0] TIEOFF_X25Y32 |
INT_R INT_R_X25Y32 TIEOFF TIEOFF[0,0] TIEOFF_X26Y32 |
CLBLM_R CLBLM_R_X25Y32 SLICEM SLICE[0,0] SLICE_X38Y32 SLICEL SLICE[1,0] SLICE_X39Y32 |
VBRK VBRK_X81Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y32 |
INT_L INT_L_X26Y32 TIEOFF TIEOFF[0,0] TIEOFF_X27Y32 |
INT_R INT_R_X27Y32 TIEOFF TIEOFF[0,0] TIEOFF_X28Y32 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y32 |
R_TERM_INT R_TERM_INT_X88Y34 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X27Y31 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y31 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y32 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y31 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y32 IDELAYE2 IDELAY[0,0] IDELAY_X1Y31 IDELAYE2 IDELAY[0,1] IDELAY_X1Y32 |
RIOB33 RIOB33_X27Y31 IOB33S IOB[0,0] IOB_X1Y31 IOB33M IOB[0,1] IOB_X1Y32 |
||||||||
L_TERM_INT L_TERM_INT_X2Y33 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y31 |
INT_L INT_L_X0Y31 TIEOFF TIEOFF[0,0] TIEOFF_X0Y31 |
INT_R INT_R_X1Y31 TIEOFF TIEOFF[0,0] TIEOFF_X1Y31 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y31 |
VBRK VBRK_X9Y33 |
CLBLL_L CLBLL_L_X2Y31 SLICEL SLICE[0,0] SLICE_X0Y31 SLICEL SLICE[1,0] SLICE_X1Y31 |
INT_L INT_L_X2Y31 TIEOFF TIEOFF[0,0] TIEOFF_X2Y31 |
INT_R INT_R_X3Y31 TIEOFF TIEOFF[0,0] TIEOFF_X3Y31 |
CLBLM_R CLBLM_R_X3Y31 SLICEM SLICE[0,0] SLICE_X2Y31 SLICEL SLICE[1,0] SLICE_X3Y31 |
CLBLL_L CLBLL_L_X4Y31 SLICEL SLICE[0,0] SLICE_X4Y31 SLICEL SLICE[1,0] SLICE_X5Y31 |
INT_L INT_L_X4Y31 TIEOFF TIEOFF[0,0] TIEOFF_X4Y31 |
INT_R INT_R_X5Y31 TIEOFF TIEOFF[0,0] TIEOFF_X5Y31 |
CLBLM_R CLBLM_R_X5Y31 SLICEM SLICE[0,0] SLICE_X6Y31 SLICEL SLICE[1,0] SLICE_X7Y31 |
VBRK VBRK_X18Y33 |
CLBLL_L CLBLL_L_X6Y31 SLICEL SLICE[0,0] SLICE_X8Y31 SLICEL SLICE[1,0] SLICE_X9Y31 |
INT_L INT_L_X6Y31 TIEOFF TIEOFF[0,0] TIEOFF_X6Y31 |
INT_R INT_R_X7Y31 TIEOFF TIEOFF[0,0] TIEOFF_X7Y31 |
CLBLM_R CLBLM_R_X7Y31 SLICEM SLICE[0,0] SLICE_X10Y31 SLICEL SLICE[1,0] SLICE_X11Y31 |
CLBLL_L CLBLL_L_X8Y31 SLICEL SLICE[0,0] SLICE_X12Y31 SLICEL SLICE[1,0] SLICE_X13Y31 |
INT_L INT_L_X8Y31 TIEOFF TIEOFF[0,0] TIEOFF_X8Y31 |
INT_R INT_R_X9Y31 TIEOFF TIEOFF[0,0] TIEOFF_X9Y31 |
CLBLM_R CLBLM_R_X9Y31 SLICEM SLICE[0,0] SLICE_X14Y31 SLICEL SLICE[1,0] SLICE_X15Y31 |
VBRK VBRK_X27Y33 |
CLBLL_L CLBLL_L_X10Y31 SLICEL SLICE[0,0] SLICE_X16Y31 SLICEL SLICE[1,0] SLICE_X17Y31 |
INT_L INT_L_X10Y31 TIEOFF TIEOFF[0,0] TIEOFF_X10Y31 |
INT_R INT_R_X11Y31 TIEOFF TIEOFF[0,0] TIEOFF_X11Y31 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y31 |
CLK_FEED CLK_FEED_X32Y33 |
VBRK VBRK_X33Y33 |
CLBLM_L CLBLM_L_X12Y31 SLICEM SLICE[0,0] SLICE_X18Y31 SLICEL SLICE[1,0] SLICE_X19Y31 |
INT_L INT_L_X12Y31 TIEOFF TIEOFF[0,0] TIEOFF_X12Y31 |
INT_R INT_R_X13Y31 TIEOFF TIEOFF[0,0] TIEOFF_X13Y31 |
CLBLL_R CLBLL_R_X13Y31 SLICEL SLICE[0,0] SLICE_X20Y31 SLICEL SLICE[1,0] SLICE_X21Y31 |
VBRK VBRK_X38Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y33 |
VFRAME VFRAME_X51Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y31 |
INT_L INT_L_X14Y31 TIEOFF TIEOFF[0,0] TIEOFF_X14Y31 |
INT_R INT_R_X15Y31 TIEOFF TIEOFF[0,0] TIEOFF_X15Y31 |
CLBLM_R CLBLM_R_X15Y31 SLICEM SLICE[0,0] SLICE_X22Y31 SLICEL SLICE[1,0] SLICE_X23Y31 |
VBRK VBRK_X56Y33 |
CLBLM_L CLBLM_L_X16Y31 SLICEM SLICE[0,0] SLICE_X24Y31 SLICEL SLICE[1,0] SLICE_X25Y31 |
INT_L INT_L_X16Y31 TIEOFF TIEOFF[0,0] TIEOFF_X16Y31 |
INT_R INT_R_X17Y31 TIEOFF TIEOFF[0,0] TIEOFF_X17Y31 |
CLBLM_R CLBLM_R_X17Y31 SLICEM SLICE[0,0] SLICE_X26Y31 SLICEL SLICE[1,0] SLICE_X27Y31 |
VBRK VBRK_X61Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y31 |
INT_L INT_L_X18Y31 TIEOFF TIEOFF[0,0] TIEOFF_X19Y31 |
INT_R INT_R_X19Y31 TIEOFF TIEOFF[0,0] TIEOFF_X20Y31 |
CLBLM_R CLBLM_R_X19Y31 SLICEM SLICE[0,0] SLICE_X28Y31 SLICEL SLICE[1,0] SLICE_X29Y31 |
CLBLM_L CLBLM_L_X20Y31 SLICEM SLICE[0,0] SLICE_X30Y31 SLICEL SLICE[1,0] SLICE_X31Y31 |
INT_L INT_L_X20Y31 TIEOFF TIEOFF[0,0] TIEOFF_X21Y31 |
INT_R INT_R_X21Y31 TIEOFF TIEOFF[0,0] TIEOFF_X22Y31 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y31 |
VBRK VBRK_X72Y33 |
CLBLL_L CLBLL_L_X22Y31 SLICEL SLICE[0,0] SLICE_X32Y31 SLICEL SLICE[1,0] SLICE_X33Y31 |
INT_L INT_L_X22Y31 TIEOFF TIEOFF[0,0] TIEOFF_X23Y31 |
INT_R INT_R_X23Y31 TIEOFF TIEOFF[0,0] TIEOFF_X24Y31 |
CLBLM_R CLBLM_R_X23Y31 SLICEM SLICE[0,0] SLICE_X34Y31 SLICEL SLICE[1,0] SLICE_X35Y31 |
CLBLL_L CLBLL_L_X24Y31 SLICEL SLICE[0,0] SLICE_X36Y31 SLICEL SLICE[1,0] SLICE_X37Y31 |
INT_L INT_L_X24Y31 TIEOFF TIEOFF[0,0] TIEOFF_X25Y31 |
INT_R INT_R_X25Y31 TIEOFF TIEOFF[0,0] TIEOFF_X26Y31 |
CLBLM_R CLBLM_R_X25Y31 SLICEM SLICE[0,0] SLICE_X38Y31 SLICEL SLICE[1,0] SLICE_X39Y31 |
VBRK VBRK_X81Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y31 |
INT_L INT_L_X26Y31 TIEOFF TIEOFF[0,0] TIEOFF_X27Y31 |
INT_R INT_R_X27Y31 TIEOFF TIEOFF[0,0] TIEOFF_X28Y31 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y31 |
R_TERM_INT R_TERM_INT_X88Y33 |
||||||||||||
LIOB33 LIOB33_X0Y29 IOB33S IOB[0,0] IOB_X0Y29 IOB33M IOB[0,1] IOB_X0Y30 |
LIOI3 LIOI3_X0Y29 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y29 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y30 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y29 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y30 IDELAYE2 IDELAY[0,0] IDELAY_X0Y29 IDELAYE2 IDELAY[0,1] IDELAY_X0Y30 |
L_TERM_INT L_TERM_INT_X2Y32 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y30 |
INT_L INT_L_X0Y30 TIEOFF TIEOFF[0,0] TIEOFF_X0Y30 |
INT_R INT_R_X1Y30 TIEOFF TIEOFF[0,0] TIEOFF_X1Y30 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y30 |
VBRK VBRK_X9Y32 |
CLBLL_L CLBLL_L_X2Y30 SLICEL SLICE[0,0] SLICE_X0Y30 SLICEL SLICE[1,0] SLICE_X1Y30 |
INT_L INT_L_X2Y30 TIEOFF TIEOFF[0,0] TIEOFF_X2Y30 |
INT_R INT_R_X3Y30 TIEOFF TIEOFF[0,0] TIEOFF_X3Y30 |
CLBLM_R CLBLM_R_X3Y30 SLICEM SLICE[0,0] SLICE_X2Y30 SLICEL SLICE[1,0] SLICE_X3Y30 |
CLBLL_L CLBLL_L_X4Y30 SLICEL SLICE[0,0] SLICE_X4Y30 SLICEL SLICE[1,0] SLICE_X5Y30 |
INT_L INT_L_X4Y30 TIEOFF TIEOFF[0,0] TIEOFF_X4Y30 |
INT_R INT_R_X5Y30 TIEOFF TIEOFF[0,0] TIEOFF_X5Y30 |
CLBLM_R CLBLM_R_X5Y30 SLICEM SLICE[0,0] SLICE_X6Y30 SLICEL SLICE[1,0] SLICE_X7Y30 |
VBRK VBRK_X18Y32 |
CLBLL_L CLBLL_L_X6Y30 SLICEL SLICE[0,0] SLICE_X8Y30 SLICEL SLICE[1,0] SLICE_X9Y30 |
INT_L INT_L_X6Y30 TIEOFF TIEOFF[0,0] TIEOFF_X6Y30 |
INT_R INT_R_X7Y30 TIEOFF TIEOFF[0,0] TIEOFF_X7Y30 |
CLBLM_R CLBLM_R_X7Y30 SLICEM SLICE[0,0] SLICE_X10Y30 SLICEL SLICE[1,0] SLICE_X11Y30 |
CLBLL_L CLBLL_L_X8Y30 SLICEL SLICE[0,0] SLICE_X12Y30 SLICEL SLICE[1,0] SLICE_X13Y30 |
INT_L INT_L_X8Y30 TIEOFF TIEOFF[0,0] TIEOFF_X8Y30 |
INT_R INT_R_X9Y30 TIEOFF TIEOFF[0,0] TIEOFF_X9Y30 |
CLBLM_R CLBLM_R_X9Y30 SLICEM SLICE[0,0] SLICE_X14Y30 SLICEL SLICE[1,0] SLICE_X15Y30 |
VBRK VBRK_X27Y32 |
CLBLL_L CLBLL_L_X10Y30 SLICEL SLICE[0,0] SLICE_X16Y30 SLICEL SLICE[1,0] SLICE_X17Y30 |
INT_L INT_L_X10Y30 TIEOFF TIEOFF[0,0] TIEOFF_X10Y30 |
INT_R INT_R_X11Y30 TIEOFF TIEOFF[0,0] TIEOFF_X11Y30 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y30 |
CLK_FEED CLK_FEED_X32Y32 |
VBRK VBRK_X33Y32 |
CLBLM_L CLBLM_L_X12Y30 SLICEM SLICE[0,0] SLICE_X18Y30 SLICEL SLICE[1,0] SLICE_X19Y30 |
INT_L INT_L_X12Y30 TIEOFF TIEOFF[0,0] TIEOFF_X12Y30 |
INT_R INT_R_X13Y30 TIEOFF TIEOFF[0,0] TIEOFF_X13Y30 |
CLBLL_R CLBLL_R_X13Y30 SLICEL SLICE[0,0] SLICE_X20Y30 SLICEL SLICE[1,0] SLICE_X21Y30 |
VBRK VBRK_X38Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y32 |
VFRAME VFRAME_X51Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y30 |
INT_L INT_L_X14Y30 TIEOFF TIEOFF[0,0] TIEOFF_X14Y30 |
INT_R INT_R_X15Y30 TIEOFF TIEOFF[0,0] TIEOFF_X15Y30 |
CLBLM_R CLBLM_R_X15Y30 SLICEM SLICE[0,0] SLICE_X22Y30 SLICEL SLICE[1,0] SLICE_X23Y30 |
VBRK VBRK_X56Y32 |
CLBLM_L CLBLM_L_X16Y30 SLICEM SLICE[0,0] SLICE_X24Y30 SLICEL SLICE[1,0] SLICE_X25Y30 |
INT_L INT_L_X16Y30 TIEOFF TIEOFF[0,0] TIEOFF_X16Y30 |
INT_R INT_R_X17Y30 TIEOFF TIEOFF[0,0] TIEOFF_X17Y30 |
CLBLM_R CLBLM_R_X17Y30 SLICEM SLICE[0,0] SLICE_X26Y30 SLICEL SLICE[1,0] SLICE_X27Y30 |
VBRK VBRK_X61Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y30 |
INT_L INT_L_X18Y30 TIEOFF TIEOFF[0,0] TIEOFF_X19Y30 |
INT_R INT_R_X19Y30 TIEOFF TIEOFF[0,0] TIEOFF_X20Y30 |
CLBLM_R CLBLM_R_X19Y30 SLICEM SLICE[0,0] SLICE_X28Y30 SLICEL SLICE[1,0] SLICE_X29Y30 |
CLBLM_L CLBLM_L_X20Y30 SLICEM SLICE[0,0] SLICE_X30Y30 SLICEL SLICE[1,0] SLICE_X31Y30 |
INT_L INT_L_X20Y30 TIEOFF TIEOFF[0,0] TIEOFF_X21Y30 |
INT_R INT_R_X21Y30 TIEOFF TIEOFF[0,0] TIEOFF_X22Y30 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y30 |
VBRK VBRK_X72Y32 |
CLBLL_L CLBLL_L_X22Y30 SLICEL SLICE[0,0] SLICE_X32Y30 SLICEL SLICE[1,0] SLICE_X33Y30 |
INT_L INT_L_X22Y30 TIEOFF TIEOFF[0,0] TIEOFF_X23Y30 |
INT_R INT_R_X23Y30 TIEOFF TIEOFF[0,0] TIEOFF_X24Y30 |
CLBLM_R CLBLM_R_X23Y30 SLICEM SLICE[0,0] SLICE_X34Y30 SLICEL SLICE[1,0] SLICE_X35Y30 |
CLBLL_L CLBLL_L_X24Y30 SLICEL SLICE[0,0] SLICE_X36Y30 SLICEL SLICE[1,0] SLICE_X37Y30 |
INT_L INT_L_X24Y30 TIEOFF TIEOFF[0,0] TIEOFF_X25Y30 |
INT_R INT_R_X25Y30 TIEOFF TIEOFF[0,0] TIEOFF_X26Y30 |
CLBLM_R CLBLM_R_X25Y30 SLICEM SLICE[0,0] SLICE_X38Y30 SLICEL SLICE[1,0] SLICE_X39Y30 |
VBRK VBRK_X81Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y30 |
INT_L INT_L_X26Y30 TIEOFF TIEOFF[0,0] TIEOFF_X27Y30 |
INT_R INT_R_X27Y30 TIEOFF TIEOFF[0,0] TIEOFF_X28Y30 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y30 |
R_TERM_INT R_TERM_INT_X88Y32 |
RIOI3 RIOI3_X27Y29 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y29 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y30 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y29 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y30 IDELAYE2 IDELAY[0,0] IDELAY_X1Y29 IDELAYE2 IDELAY[0,1] IDELAY_X1Y30 |
RIOB33 RIOB33_X27Y29 IOB33S IOB[0,0] IOB_X1Y29 IOB33M IOB[0,1] IOB_X1Y30 |
||||||||
L_TERM_INT L_TERM_INT_X2Y31 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y29 |
INT_L INT_L_X0Y29 TIEOFF TIEOFF[0,0] TIEOFF_X0Y29 |
INT_R INT_R_X1Y29 TIEOFF TIEOFF[0,0] TIEOFF_X1Y29 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y29 |
VBRK VBRK_X9Y31 |
CLBLL_L CLBLL_L_X2Y29 SLICEL SLICE[0,0] SLICE_X0Y29 SLICEL SLICE[1,0] SLICE_X1Y29 |
INT_L INT_L_X2Y29 TIEOFF TIEOFF[0,0] TIEOFF_X2Y29 |
INT_R INT_R_X3Y29 TIEOFF TIEOFF[0,0] TIEOFF_X3Y29 |
CLBLM_R CLBLM_R_X3Y29 SLICEM SLICE[0,0] SLICE_X2Y29 SLICEL SLICE[1,0] SLICE_X3Y29 |
CLBLL_L CLBLL_L_X4Y29 SLICEL SLICE[0,0] SLICE_X4Y29 SLICEL SLICE[1,0] SLICE_X5Y29 |
INT_L INT_L_X4Y29 TIEOFF TIEOFF[0,0] TIEOFF_X4Y29 |
INT_R INT_R_X5Y29 TIEOFF TIEOFF[0,0] TIEOFF_X5Y29 |
CLBLM_R CLBLM_R_X5Y29 SLICEM SLICE[0,0] SLICE_X6Y29 SLICEL SLICE[1,0] SLICE_X7Y29 |
VBRK VBRK_X18Y31 |
CLBLL_L CLBLL_L_X6Y29 SLICEL SLICE[0,0] SLICE_X8Y29 SLICEL SLICE[1,0] SLICE_X9Y29 |
INT_L INT_L_X6Y29 TIEOFF TIEOFF[0,0] TIEOFF_X6Y29 |
INT_R INT_R_X7Y29 TIEOFF TIEOFF[0,0] TIEOFF_X7Y29 |
CLBLM_R CLBLM_R_X7Y29 SLICEM SLICE[0,0] SLICE_X10Y29 SLICEL SLICE[1,0] SLICE_X11Y29 |
CLBLL_L CLBLL_L_X8Y29 SLICEL SLICE[0,0] SLICE_X12Y29 SLICEL SLICE[1,0] SLICE_X13Y29 |
INT_L INT_L_X8Y29 TIEOFF TIEOFF[0,0] TIEOFF_X8Y29 |
INT_R INT_R_X9Y29 TIEOFF TIEOFF[0,0] TIEOFF_X9Y29 |
CLBLM_R CLBLM_R_X9Y29 SLICEM SLICE[0,0] SLICE_X14Y29 SLICEL SLICE[1,0] SLICE_X15Y29 |
VBRK VBRK_X27Y31 |
CLBLL_L CLBLL_L_X10Y29 SLICEL SLICE[0,0] SLICE_X16Y29 SLICEL SLICE[1,0] SLICE_X17Y29 |
INT_L INT_L_X10Y29 TIEOFF TIEOFF[0,0] TIEOFF_X10Y29 |
INT_R INT_R_X11Y29 TIEOFF TIEOFF[0,0] TIEOFF_X11Y29 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y29 |
CLK_FEED CLK_FEED_X32Y31 |
VBRK VBRK_X33Y31 |
CLBLM_L CLBLM_L_X12Y29 SLICEM SLICE[0,0] SLICE_X18Y29 SLICEL SLICE[1,0] SLICE_X19Y29 |
INT_L INT_L_X12Y29 TIEOFF TIEOFF[0,0] TIEOFF_X12Y29 |
INT_R INT_R_X13Y29 TIEOFF TIEOFF[0,0] TIEOFF_X13Y29 |
CLBLL_R CLBLL_R_X13Y29 SLICEL SLICE[0,0] SLICE_X20Y29 SLICEL SLICE[1,0] SLICE_X21Y29 |
VBRK VBRK_X38Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y31 |
VFRAME VFRAME_X51Y31 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y29 |
INT_L INT_L_X14Y29 TIEOFF TIEOFF[0,0] TIEOFF_X14Y29 |
INT_R INT_R_X15Y29 TIEOFF TIEOFF[0,0] TIEOFF_X15Y29 |
CLBLM_R CLBLM_R_X15Y29 SLICEM SLICE[0,0] SLICE_X22Y29 SLICEL SLICE[1,0] SLICE_X23Y29 |
VBRK VBRK_X56Y31 |
CLBLM_L CLBLM_L_X16Y29 SLICEM SLICE[0,0] SLICE_X24Y29 SLICEL SLICE[1,0] SLICE_X25Y29 |
INT_L INT_L_X16Y29 TIEOFF TIEOFF[0,0] TIEOFF_X16Y29 |
INT_R INT_R_X17Y29 TIEOFF TIEOFF[0,0] TIEOFF_X17Y29 |
CLBLM_R CLBLM_R_X17Y29 SLICEM SLICE[0,0] SLICE_X26Y29 SLICEL SLICE[1,0] SLICE_X27Y29 |
VBRK VBRK_X61Y31 |
DSP_L DSP_L_X18Y25 TIEOFF TIEOFF[0,0] TIEOFF_X18Y25 DSP48E1 DSP48[0,0] DSP48_X0Y10 DSP48E1 DSP48[0,1] DSP48_X0Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y29 |
INT_L INT_L_X18Y29 TIEOFF TIEOFF[0,0] TIEOFF_X19Y29 |
INT_R INT_R_X19Y29 TIEOFF TIEOFF[0,0] TIEOFF_X20Y29 |
CLBLM_R CLBLM_R_X19Y29 SLICEM SLICE[0,0] SLICE_X28Y29 SLICEL SLICE[1,0] SLICE_X29Y29 |
CLBLM_L CLBLM_L_X20Y29 SLICEM SLICE[0,0] SLICE_X30Y29 SLICEL SLICE[1,0] SLICE_X31Y29 |
INT_L INT_L_X20Y29 TIEOFF TIEOFF[0,0] TIEOFF_X21Y29 |
INT_R INT_R_X21Y29 TIEOFF TIEOFF[0,0] TIEOFF_X22Y29 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y29 |
BRAM_R BRAM_R_X21Y25 FIFO18E1 RAMB18[0,0] RAMB18_X0Y10 RAMB18E1 RAMB18[0,1] RAMB18_X0Y11 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y5 |
VBRK VBRK_X72Y31 |
CLBLL_L CLBLL_L_X22Y29 SLICEL SLICE[0,0] SLICE_X32Y29 SLICEL SLICE[1,0] SLICE_X33Y29 |
INT_L INT_L_X22Y29 TIEOFF TIEOFF[0,0] TIEOFF_X23Y29 |
INT_R INT_R_X23Y29 TIEOFF TIEOFF[0,0] TIEOFF_X24Y29 |
CLBLM_R CLBLM_R_X23Y29 SLICEM SLICE[0,0] SLICE_X34Y29 SLICEL SLICE[1,0] SLICE_X35Y29 |
CLBLL_L CLBLL_L_X24Y29 SLICEL SLICE[0,0] SLICE_X36Y29 SLICEL SLICE[1,0] SLICE_X37Y29 |
INT_L INT_L_X24Y29 TIEOFF TIEOFF[0,0] TIEOFF_X25Y29 |
INT_R INT_R_X25Y29 TIEOFF TIEOFF[0,0] TIEOFF_X26Y29 |
CLBLM_R CLBLM_R_X25Y29 SLICEM SLICE[0,0] SLICE_X38Y29 SLICEL SLICE[1,0] SLICE_X39Y29 |
VBRK VBRK_X81Y31 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y29 |
INT_L INT_L_X26Y29 TIEOFF TIEOFF[0,0] TIEOFF_X27Y29 |
INT_R INT_R_X27Y29 TIEOFF TIEOFF[0,0] TIEOFF_X28Y29 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y29 |
R_TERM_INT R_TERM_INT_X88Y31 |
||||||||||
LIOB33 LIOB33_X0Y27 IOB33S IOB[0,0] IOB_X0Y27 IOB33M IOB[0,1] IOB_X0Y28 |
LIOI3 LIOI3_X0Y27 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y27 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y28 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y27 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y28 IDELAYE2 IDELAY[0,0] IDELAY_X0Y27 IDELAYE2 IDELAY[0,1] IDELAY_X0Y28 |
L_TERM_INT L_TERM_INT_X2Y30 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y28 |
INT_L INT_L_X0Y28 TIEOFF TIEOFF[0,0] TIEOFF_X0Y28 |
INT_R INT_R_X1Y28 TIEOFF TIEOFF[0,0] TIEOFF_X1Y28 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y28 |
VBRK VBRK_X9Y30 |
CLBLL_L CLBLL_L_X2Y28 SLICEL SLICE[0,0] SLICE_X0Y28 SLICEL SLICE[1,0] SLICE_X1Y28 |
INT_L INT_L_X2Y28 TIEOFF TIEOFF[0,0] TIEOFF_X2Y28 |
INT_R INT_R_X3Y28 TIEOFF TIEOFF[0,0] TIEOFF_X3Y28 |
CLBLM_R CLBLM_R_X3Y28 SLICEM SLICE[0,0] SLICE_X2Y28 SLICEL SLICE[1,0] SLICE_X3Y28 |
CLBLL_L CLBLL_L_X4Y28 SLICEL SLICE[0,0] SLICE_X4Y28 SLICEL SLICE[1,0] SLICE_X5Y28 |
INT_L INT_L_X4Y28 TIEOFF TIEOFF[0,0] TIEOFF_X4Y28 |
INT_R INT_R_X5Y28 TIEOFF TIEOFF[0,0] TIEOFF_X5Y28 |
CLBLM_R CLBLM_R_X5Y28 SLICEM SLICE[0,0] SLICE_X6Y28 SLICEL SLICE[1,0] SLICE_X7Y28 |
VBRK VBRK_X18Y30 |
CLBLL_L CLBLL_L_X6Y28 SLICEL SLICE[0,0] SLICE_X8Y28 SLICEL SLICE[1,0] SLICE_X9Y28 |
INT_L INT_L_X6Y28 TIEOFF TIEOFF[0,0] TIEOFF_X6Y28 |
INT_R INT_R_X7Y28 TIEOFF TIEOFF[0,0] TIEOFF_X7Y28 |
CLBLM_R CLBLM_R_X7Y28 SLICEM SLICE[0,0] SLICE_X10Y28 SLICEL SLICE[1,0] SLICE_X11Y28 |
CLBLL_L CLBLL_L_X8Y28 SLICEL SLICE[0,0] SLICE_X12Y28 SLICEL SLICE[1,0] SLICE_X13Y28 |
INT_L INT_L_X8Y28 TIEOFF TIEOFF[0,0] TIEOFF_X8Y28 |
INT_R INT_R_X9Y28 TIEOFF TIEOFF[0,0] TIEOFF_X9Y28 |
CLBLM_R CLBLM_R_X9Y28 SLICEM SLICE[0,0] SLICE_X14Y28 SLICEL SLICE[1,0] SLICE_X15Y28 |
VBRK VBRK_X27Y30 |
CLBLL_L CLBLL_L_X10Y28 SLICEL SLICE[0,0] SLICE_X16Y28 SLICEL SLICE[1,0] SLICE_X17Y28 |
INT_L INT_L_X10Y28 TIEOFF TIEOFF[0,0] TIEOFF_X10Y28 |
INT_R INT_R_X11Y28 TIEOFF TIEOFF[0,0] TIEOFF_X11Y28 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y28 |
CLK_HROW_BOT_R CLK_HROW_BOT_R_X32Y26 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y16 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y17 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y18 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y19 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y20 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y21 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y22 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y23 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y24 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y25 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y26 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y27 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y28 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y29 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y30 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y31 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y16 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y17 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y18 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y19 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y20 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y21 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y22 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y23 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y24 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y25 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y26 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y27 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y28 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y29 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y30 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y31 GCLK_TEST_BUF GCLK_TEST_BUF[3,0] GCLK_TEST_BUF_X3Y16 GCLK_TEST_BUF GCLK_TEST_BUF[3,1] GCLK_TEST_BUF_X3Y17 BUFHCE BUFHCE[0,0] BUFHCE_X0Y0 BUFHCE BUFHCE[0,1] BUFHCE_X0Y1 BUFHCE BUFHCE[0,2] BUFHCE_X0Y2 BUFHCE BUFHCE[0,3] BUFHCE_X0Y3 BUFHCE BUFHCE[0,4] BUFHCE_X0Y4 BUFHCE BUFHCE[0,5] BUFHCE_X0Y5 BUFHCE BUFHCE[0,6] BUFHCE_X0Y6 BUFHCE BUFHCE[0,7] BUFHCE_X0Y7 BUFHCE BUFHCE[0,8] BUFHCE_X0Y8 BUFHCE BUFHCE[0,9] BUFHCE_X0Y9 BUFHCE BUFHCE[0,10] BUFHCE_X0Y10 BUFHCE BUFHCE[0,11] BUFHCE_X0Y11 BUFHCE BUFHCE[1,0] BUFHCE_X1Y0 BUFHCE BUFHCE[1,1] BUFHCE_X1Y1 BUFHCE BUFHCE[1,2] BUFHCE_X1Y2 BUFHCE BUFHCE[1,3] BUFHCE_X1Y3 BUFHCE BUFHCE[1,4] BUFHCE_X1Y4 BUFHCE BUFHCE[1,5] BUFHCE_X1Y5 BUFHCE BUFHCE[1,6] BUFHCE_X1Y6 BUFHCE BUFHCE[1,7] BUFHCE_X1Y7 BUFHCE BUFHCE[1,8] BUFHCE_X1Y8 BUFHCE BUFHCE[1,9] BUFHCE_X1Y9 BUFHCE BUFHCE[1,10] BUFHCE_X1Y10 BUFHCE BUFHCE[1,11] BUFHCE_X1Y11 |
VBRK VBRK_X33Y30 |
CLBLM_L CLBLM_L_X12Y28 SLICEM SLICE[0,0] SLICE_X18Y28 SLICEL SLICE[1,0] SLICE_X19Y28 |
INT_L INT_L_X12Y28 TIEOFF TIEOFF[0,0] TIEOFF_X12Y28 |
INT_R INT_R_X13Y28 TIEOFF TIEOFF[0,0] TIEOFF_X13Y28 |
CLBLL_R CLBLL_R_X13Y28 SLICEL SLICE[0,0] SLICE_X20Y28 SLICEL SLICE[1,0] SLICE_X21Y28 |
VBRK VBRK_X38Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y30 |
VFRAME VFRAME_X51Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y28 |
INT_L INT_L_X14Y28 TIEOFF TIEOFF[0,0] TIEOFF_X14Y28 |
INT_R INT_R_X15Y28 TIEOFF TIEOFF[0,0] TIEOFF_X15Y28 |
CLBLM_R CLBLM_R_X15Y28 SLICEM SLICE[0,0] SLICE_X22Y28 SLICEL SLICE[1,0] SLICE_X23Y28 |
VBRK VBRK_X56Y30 |
CLBLM_L CLBLM_L_X16Y28 SLICEM SLICE[0,0] SLICE_X24Y28 SLICEL SLICE[1,0] SLICE_X25Y28 |
INT_L INT_L_X16Y28 TIEOFF TIEOFF[0,0] TIEOFF_X16Y28 |
INT_R INT_R_X17Y28 TIEOFF TIEOFF[0,0] TIEOFF_X17Y28 |
CLBLM_R CLBLM_R_X17Y28 SLICEM SLICE[0,0] SLICE_X26Y28 SLICEL SLICE[1,0] SLICE_X27Y28 |
VBRK VBRK_X61Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y28 |
INT_L INT_L_X18Y28 TIEOFF TIEOFF[0,0] TIEOFF_X19Y28 |
INT_R INT_R_X19Y28 TIEOFF TIEOFF[0,0] TIEOFF_X20Y28 |
CLBLM_R CLBLM_R_X19Y28 SLICEM SLICE[0,0] SLICE_X28Y28 SLICEL SLICE[1,0] SLICE_X29Y28 |
CLBLM_L CLBLM_L_X20Y28 SLICEM SLICE[0,0] SLICE_X30Y28 SLICEL SLICE[1,0] SLICE_X31Y28 |
INT_L INT_L_X20Y28 TIEOFF TIEOFF[0,0] TIEOFF_X21Y28 |
INT_R INT_R_X21Y28 TIEOFF TIEOFF[0,0] TIEOFF_X22Y28 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y28 |
VBRK VBRK_X72Y30 |
CLBLL_L CLBLL_L_X22Y28 SLICEL SLICE[0,0] SLICE_X32Y28 SLICEL SLICE[1,0] SLICE_X33Y28 |
INT_L INT_L_X22Y28 TIEOFF TIEOFF[0,0] TIEOFF_X23Y28 |
INT_R INT_R_X23Y28 TIEOFF TIEOFF[0,0] TIEOFF_X24Y28 |
CLBLM_R CLBLM_R_X23Y28 SLICEM SLICE[0,0] SLICE_X34Y28 SLICEL SLICE[1,0] SLICE_X35Y28 |
CLBLL_L CLBLL_L_X24Y28 SLICEL SLICE[0,0] SLICE_X36Y28 SLICEL SLICE[1,0] SLICE_X37Y28 |
INT_L INT_L_X24Y28 TIEOFF TIEOFF[0,0] TIEOFF_X25Y28 |
INT_R INT_R_X25Y28 TIEOFF TIEOFF[0,0] TIEOFF_X26Y28 |
CLBLM_R CLBLM_R_X25Y28 SLICEM SLICE[0,0] SLICE_X38Y28 SLICEL SLICE[1,0] SLICE_X39Y28 |
VBRK VBRK_X81Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y28 |
INT_L INT_L_X26Y28 TIEOFF TIEOFF[0,0] TIEOFF_X27Y28 |
INT_R INT_R_X27Y28 TIEOFF TIEOFF[0,0] TIEOFF_X28Y28 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y28 |
R_TERM_INT R_TERM_INT_X88Y30 |
RIOI3 RIOI3_X27Y27 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y27 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y28 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y27 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y28 IDELAYE2 IDELAY[0,0] IDELAY_X1Y27 IDELAYE2 IDELAY[0,1] IDELAY_X1Y28 |
RIOB33 RIOB33_X27Y27 IOB33S IOB[0,0] IOB_X1Y27 IOB33M IOB[0,1] IOB_X1Y28 |
||||||||
L_TERM_INT L_TERM_INT_X2Y29 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y27 |
INT_L INT_L_X0Y27 TIEOFF TIEOFF[0,0] TIEOFF_X0Y27 |
INT_R INT_R_X1Y27 TIEOFF TIEOFF[0,0] TIEOFF_X1Y27 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y27 |
VBRK VBRK_X9Y29 |
CLBLL_L CLBLL_L_X2Y27 SLICEL SLICE[0,0] SLICE_X0Y27 SLICEL SLICE[1,0] SLICE_X1Y27 |
INT_L INT_L_X2Y27 TIEOFF TIEOFF[0,0] TIEOFF_X2Y27 |
INT_R INT_R_X3Y27 TIEOFF TIEOFF[0,0] TIEOFF_X3Y27 |
CLBLM_R CLBLM_R_X3Y27 SLICEM SLICE[0,0] SLICE_X2Y27 SLICEL SLICE[1,0] SLICE_X3Y27 |
CLBLL_L CLBLL_L_X4Y27 SLICEL SLICE[0,0] SLICE_X4Y27 SLICEL SLICE[1,0] SLICE_X5Y27 |
INT_L INT_L_X4Y27 TIEOFF TIEOFF[0,0] TIEOFF_X4Y27 |
INT_R INT_R_X5Y27 TIEOFF TIEOFF[0,0] TIEOFF_X5Y27 |
CLBLM_R CLBLM_R_X5Y27 SLICEM SLICE[0,0] SLICE_X6Y27 SLICEL SLICE[1,0] SLICE_X7Y27 |
VBRK VBRK_X18Y29 |
CLBLL_L CLBLL_L_X6Y27 SLICEL SLICE[0,0] SLICE_X8Y27 SLICEL SLICE[1,0] SLICE_X9Y27 |
INT_L INT_L_X6Y27 TIEOFF TIEOFF[0,0] TIEOFF_X6Y27 |
INT_R INT_R_X7Y27 TIEOFF TIEOFF[0,0] TIEOFF_X7Y27 |
CLBLM_R CLBLM_R_X7Y27 SLICEM SLICE[0,0] SLICE_X10Y27 SLICEL SLICE[1,0] SLICE_X11Y27 |
CLBLL_L CLBLL_L_X8Y27 SLICEL SLICE[0,0] SLICE_X12Y27 SLICEL SLICE[1,0] SLICE_X13Y27 |
INT_L INT_L_X8Y27 TIEOFF TIEOFF[0,0] TIEOFF_X8Y27 |
INT_R INT_R_X9Y27 TIEOFF TIEOFF[0,0] TIEOFF_X9Y27 |
CLBLM_R CLBLM_R_X9Y27 SLICEM SLICE[0,0] SLICE_X14Y27 SLICEL SLICE[1,0] SLICE_X15Y27 |
VBRK VBRK_X27Y29 |
CLBLL_L CLBLL_L_X10Y27 SLICEL SLICE[0,0] SLICE_X16Y27 SLICEL SLICE[1,0] SLICE_X17Y27 |
INT_L INT_L_X10Y27 TIEOFF TIEOFF[0,0] TIEOFF_X10Y27 |
INT_R INT_R_X11Y27 TIEOFF TIEOFF[0,0] TIEOFF_X11Y27 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y27 |
VBRK VBRK_X33Y29 |
CLBLM_L CLBLM_L_X12Y27 SLICEM SLICE[0,0] SLICE_X18Y27 SLICEL SLICE[1,0] SLICE_X19Y27 |
INT_L INT_L_X12Y27 TIEOFF TIEOFF[0,0] TIEOFF_X12Y27 |
INT_R INT_R_X13Y27 TIEOFF TIEOFF[0,0] TIEOFF_X13Y27 |
CLBLL_R CLBLL_R_X13Y27 SLICEL SLICE[0,0] SLICE_X20Y27 SLICEL SLICE[1,0] SLICE_X21Y27 |
VBRK VBRK_X38Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y29 |
VFRAME VFRAME_X51Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y27 |
INT_L INT_L_X14Y27 TIEOFF TIEOFF[0,0] TIEOFF_X14Y27 |
INT_R INT_R_X15Y27 TIEOFF TIEOFF[0,0] TIEOFF_X15Y27 |
CLBLM_R CLBLM_R_X15Y27 SLICEM SLICE[0,0] SLICE_X22Y27 SLICEL SLICE[1,0] SLICE_X23Y27 |
VBRK VBRK_X56Y29 |
CLBLM_L CLBLM_L_X16Y27 SLICEM SLICE[0,0] SLICE_X24Y27 SLICEL SLICE[1,0] SLICE_X25Y27 |
INT_L INT_L_X16Y27 TIEOFF TIEOFF[0,0] TIEOFF_X16Y27 |
INT_R INT_R_X17Y27 TIEOFF TIEOFF[0,0] TIEOFF_X17Y27 |
CLBLM_R CLBLM_R_X17Y27 SLICEM SLICE[0,0] SLICE_X26Y27 SLICEL SLICE[1,0] SLICE_X27Y27 |
VBRK VBRK_X61Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y27 |
INT_L INT_L_X18Y27 TIEOFF TIEOFF[0,0] TIEOFF_X19Y27 |
INT_R INT_R_X19Y27 TIEOFF TIEOFF[0,0] TIEOFF_X20Y27 |
CLBLM_R CLBLM_R_X19Y27 SLICEM SLICE[0,0] SLICE_X28Y27 SLICEL SLICE[1,0] SLICE_X29Y27 |
CLBLM_L CLBLM_L_X20Y27 SLICEM SLICE[0,0] SLICE_X30Y27 SLICEL SLICE[1,0] SLICE_X31Y27 |
INT_L INT_L_X20Y27 TIEOFF TIEOFF[0,0] TIEOFF_X21Y27 |
INT_R INT_R_X21Y27 TIEOFF TIEOFF[0,0] TIEOFF_X22Y27 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y27 |
VBRK VBRK_X72Y29 |
CLBLL_L CLBLL_L_X22Y27 SLICEL SLICE[0,0] SLICE_X32Y27 SLICEL SLICE[1,0] SLICE_X33Y27 |
INT_L INT_L_X22Y27 TIEOFF TIEOFF[0,0] TIEOFF_X23Y27 |
INT_R INT_R_X23Y27 TIEOFF TIEOFF[0,0] TIEOFF_X24Y27 |
CLBLM_R CLBLM_R_X23Y27 SLICEM SLICE[0,0] SLICE_X34Y27 SLICEL SLICE[1,0] SLICE_X35Y27 |
CLBLL_L CLBLL_L_X24Y27 SLICEL SLICE[0,0] SLICE_X36Y27 SLICEL SLICE[1,0] SLICE_X37Y27 |
INT_L INT_L_X24Y27 TIEOFF TIEOFF[0,0] TIEOFF_X25Y27 |
INT_R INT_R_X25Y27 TIEOFF TIEOFF[0,0] TIEOFF_X26Y27 |
CLBLM_R CLBLM_R_X25Y27 SLICEM SLICE[0,0] SLICE_X38Y27 SLICEL SLICE[1,0] SLICE_X39Y27 |
VBRK VBRK_X81Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y27 |
INT_L INT_L_X26Y27 TIEOFF TIEOFF[0,0] TIEOFF_X27Y27 |
INT_R INT_R_X27Y27 TIEOFF TIEOFF[0,0] TIEOFF_X28Y27 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y27 |
R_TERM_INT R_TERM_INT_X88Y29 |
|||||||||||||
LIOB33 LIOB33_X0Y25 IOB33S IOB[0,0] IOB_X0Y25 IOB33M IOB[0,1] IOB_X0Y26 |
LIOI3 LIOI3_X0Y25 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y25 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y26 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y25 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y26 IDELAYE2 IDELAY[0,0] IDELAY_X0Y25 IDELAYE2 IDELAY[0,1] IDELAY_X0Y26 |
L_TERM_INT L_TERM_INT_X2Y28 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y26 |
INT_L INT_L_X0Y26 TIEOFF TIEOFF[0,0] TIEOFF_X0Y26 |
INT_R INT_R_X1Y26 TIEOFF TIEOFF[0,0] TIEOFF_X1Y26 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y26 |
VBRK VBRK_X9Y28 |
CLBLL_L CLBLL_L_X2Y26 SLICEL SLICE[0,0] SLICE_X0Y26 SLICEL SLICE[1,0] SLICE_X1Y26 |
INT_L INT_L_X2Y26 TIEOFF TIEOFF[0,0] TIEOFF_X2Y26 |
INT_R INT_R_X3Y26 TIEOFF TIEOFF[0,0] TIEOFF_X3Y26 |
CLBLM_R CLBLM_R_X3Y26 SLICEM SLICE[0,0] SLICE_X2Y26 SLICEL SLICE[1,0] SLICE_X3Y26 |
CLBLL_L CLBLL_L_X4Y26 SLICEL SLICE[0,0] SLICE_X4Y26 SLICEL SLICE[1,0] SLICE_X5Y26 |
INT_L INT_L_X4Y26 TIEOFF TIEOFF[0,0] TIEOFF_X4Y26 |
INT_R INT_R_X5Y26 TIEOFF TIEOFF[0,0] TIEOFF_X5Y26 |
CLBLM_R CLBLM_R_X5Y26 SLICEM SLICE[0,0] SLICE_X6Y26 SLICEL SLICE[1,0] SLICE_X7Y26 |
VBRK VBRK_X18Y28 |
CLBLL_L CLBLL_L_X6Y26 SLICEL SLICE[0,0] SLICE_X8Y26 SLICEL SLICE[1,0] SLICE_X9Y26 |
INT_L INT_L_X6Y26 TIEOFF TIEOFF[0,0] TIEOFF_X6Y26 |
INT_R INT_R_X7Y26 TIEOFF TIEOFF[0,0] TIEOFF_X7Y26 |
CLBLM_R CLBLM_R_X7Y26 SLICEM SLICE[0,0] SLICE_X10Y26 SLICEL SLICE[1,0] SLICE_X11Y26 |
CLBLL_L CLBLL_L_X8Y26 SLICEL SLICE[0,0] SLICE_X12Y26 SLICEL SLICE[1,0] SLICE_X13Y26 |
INT_L INT_L_X8Y26 TIEOFF TIEOFF[0,0] TIEOFF_X8Y26 |
INT_R INT_R_X9Y26 TIEOFF TIEOFF[0,0] TIEOFF_X9Y26 |
CLBLM_R CLBLM_R_X9Y26 SLICEM SLICE[0,0] SLICE_X14Y26 SLICEL SLICE[1,0] SLICE_X15Y26 |
VBRK VBRK_X27Y28 |
CLBLL_L CLBLL_L_X10Y26 SLICEL SLICE[0,0] SLICE_X16Y26 SLICEL SLICE[1,0] SLICE_X17Y26 |
INT_L INT_L_X10Y26 TIEOFF TIEOFF[0,0] TIEOFF_X10Y26 |
INT_R INT_R_X11Y26 TIEOFF TIEOFF[0,0] TIEOFF_X11Y26 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y26 |
VBRK VBRK_X33Y28 |
CLBLM_L CLBLM_L_X12Y26 SLICEM SLICE[0,0] SLICE_X18Y26 SLICEL SLICE[1,0] SLICE_X19Y26 |
INT_L INT_L_X12Y26 TIEOFF TIEOFF[0,0] TIEOFF_X12Y26 |
INT_R INT_R_X13Y26 TIEOFF TIEOFF[0,0] TIEOFF_X13Y26 |
CLBLL_R CLBLL_R_X13Y26 SLICEL SLICE[0,0] SLICE_X20Y26 SLICEL SLICE[1,0] SLICE_X21Y26 |
VBRK VBRK_X38Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y28 |
VFRAME VFRAME_X51Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y26 |
INT_L INT_L_X14Y26 TIEOFF TIEOFF[0,0] TIEOFF_X14Y26 |
INT_R INT_R_X15Y26 TIEOFF TIEOFF[0,0] TIEOFF_X15Y26 |
CLBLM_R CLBLM_R_X15Y26 SLICEM SLICE[0,0] SLICE_X22Y26 SLICEL SLICE[1,0] SLICE_X23Y26 |
VBRK VBRK_X56Y28 |
CLBLM_L CLBLM_L_X16Y26 SLICEM SLICE[0,0] SLICE_X24Y26 SLICEL SLICE[1,0] SLICE_X25Y26 |
INT_L INT_L_X16Y26 TIEOFF TIEOFF[0,0] TIEOFF_X16Y26 |
INT_R INT_R_X17Y26 TIEOFF TIEOFF[0,0] TIEOFF_X17Y26 |
CLBLM_R CLBLM_R_X17Y26 SLICEM SLICE[0,0] SLICE_X26Y26 SLICEL SLICE[1,0] SLICE_X27Y26 |
VBRK VBRK_X61Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y26 |
INT_L INT_L_X18Y26 TIEOFF TIEOFF[0,0] TIEOFF_X19Y26 |
INT_R INT_R_X19Y26 TIEOFF TIEOFF[0,0] TIEOFF_X20Y26 |
CLBLM_R CLBLM_R_X19Y26 SLICEM SLICE[0,0] SLICE_X28Y26 SLICEL SLICE[1,0] SLICE_X29Y26 |
CLBLM_L CLBLM_L_X20Y26 SLICEM SLICE[0,0] SLICE_X30Y26 SLICEL SLICE[1,0] SLICE_X31Y26 |
INT_L INT_L_X20Y26 TIEOFF TIEOFF[0,0] TIEOFF_X21Y26 |
INT_R INT_R_X21Y26 TIEOFF TIEOFF[0,0] TIEOFF_X22Y26 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y26 |
VBRK VBRK_X72Y28 |
CLBLL_L CLBLL_L_X22Y26 SLICEL SLICE[0,0] SLICE_X32Y26 SLICEL SLICE[1,0] SLICE_X33Y26 |
INT_L INT_L_X22Y26 TIEOFF TIEOFF[0,0] TIEOFF_X23Y26 |
INT_R INT_R_X23Y26 TIEOFF TIEOFF[0,0] TIEOFF_X24Y26 |
CLBLM_R CLBLM_R_X23Y26 SLICEM SLICE[0,0] SLICE_X34Y26 SLICEL SLICE[1,0] SLICE_X35Y26 |
CLBLL_L CLBLL_L_X24Y26 SLICEL SLICE[0,0] SLICE_X36Y26 SLICEL SLICE[1,0] SLICE_X37Y26 |
INT_L INT_L_X24Y26 TIEOFF TIEOFF[0,0] TIEOFF_X25Y26 |
INT_R INT_R_X25Y26 TIEOFF TIEOFF[0,0] TIEOFF_X26Y26 |
CLBLM_R CLBLM_R_X25Y26 SLICEM SLICE[0,0] SLICE_X38Y26 SLICEL SLICE[1,0] SLICE_X39Y26 |
VBRK VBRK_X81Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y26 |
INT_L INT_L_X26Y26 TIEOFF TIEOFF[0,0] TIEOFF_X27Y26 |
INT_R INT_R_X27Y26 TIEOFF TIEOFF[0,0] TIEOFF_X28Y26 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y26 |
R_TERM_INT R_TERM_INT_X88Y28 |
RIOI3 RIOI3_X27Y25 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y25 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y26 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y25 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y26 IDELAYE2 IDELAY[0,0] IDELAY_X1Y25 IDELAYE2 IDELAY[0,1] IDELAY_X1Y26 |
RIOB33 RIOB33_X27Y25 IOB33S IOB[0,0] IOB_X1Y25 IOB33M IOB[0,1] IOB_X1Y26 |
|||||||||
L_TERM_INT L_TERM_INT_X2Y27 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y25 |
INT_L INT_L_X0Y25 TIEOFF TIEOFF[0,0] TIEOFF_X0Y25 |
INT_R INT_R_X1Y25 TIEOFF TIEOFF[0,0] TIEOFF_X1Y25 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y25 |
VBRK VBRK_X9Y27 |
CLBLL_L CLBLL_L_X2Y25 SLICEL SLICE[0,0] SLICE_X0Y25 SLICEL SLICE[1,0] SLICE_X1Y25 |
INT_L INT_L_X2Y25 TIEOFF TIEOFF[0,0] TIEOFF_X2Y25 |
INT_R INT_R_X3Y25 TIEOFF TIEOFF[0,0] TIEOFF_X3Y25 |
CLBLM_R CLBLM_R_X3Y25 SLICEM SLICE[0,0] SLICE_X2Y25 SLICEL SLICE[1,0] SLICE_X3Y25 |
CLBLL_L CLBLL_L_X4Y25 SLICEL SLICE[0,0] SLICE_X4Y25 SLICEL SLICE[1,0] SLICE_X5Y25 |
INT_L INT_L_X4Y25 TIEOFF TIEOFF[0,0] TIEOFF_X4Y25 |
INT_R INT_R_X5Y25 TIEOFF TIEOFF[0,0] TIEOFF_X5Y25 |
CLBLM_R CLBLM_R_X5Y25 SLICEM SLICE[0,0] SLICE_X6Y25 SLICEL SLICE[1,0] SLICE_X7Y25 |
VBRK VBRK_X18Y27 |
CLBLL_L CLBLL_L_X6Y25 SLICEL SLICE[0,0] SLICE_X8Y25 SLICEL SLICE[1,0] SLICE_X9Y25 |
INT_L INT_L_X6Y25 TIEOFF TIEOFF[0,0] TIEOFF_X6Y25 |
INT_R INT_R_X7Y25 TIEOFF TIEOFF[0,0] TIEOFF_X7Y25 |
CLBLM_R CLBLM_R_X7Y25 SLICEM SLICE[0,0] SLICE_X10Y25 SLICEL SLICE[1,0] SLICE_X11Y25 |
CLBLL_L CLBLL_L_X8Y25 SLICEL SLICE[0,0] SLICE_X12Y25 SLICEL SLICE[1,0] SLICE_X13Y25 |
INT_L INT_L_X8Y25 TIEOFF TIEOFF[0,0] TIEOFF_X8Y25 |
INT_R INT_R_X9Y25 TIEOFF TIEOFF[0,0] TIEOFF_X9Y25 |
CLBLM_R CLBLM_R_X9Y25 SLICEM SLICE[0,0] SLICE_X14Y25 SLICEL SLICE[1,0] SLICE_X15Y25 |
VBRK VBRK_X27Y27 |
CLBLL_L CLBLL_L_X10Y25 SLICEL SLICE[0,0] SLICE_X16Y25 SLICEL SLICE[1,0] SLICE_X17Y25 |
INT_L INT_L_X10Y25 TIEOFF TIEOFF[0,0] TIEOFF_X10Y25 |
INT_R INT_R_X11Y25 TIEOFF TIEOFF[0,0] TIEOFF_X11Y25 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y25 |
VBRK VBRK_X33Y27 |
CLBLM_L CLBLM_L_X12Y25 SLICEM SLICE[0,0] SLICE_X18Y25 SLICEL SLICE[1,0] SLICE_X19Y25 |
INT_L INT_L_X12Y25 TIEOFF TIEOFF[0,0] TIEOFF_X12Y25 |
INT_R INT_R_X13Y25 TIEOFF TIEOFF[0,0] TIEOFF_X13Y25 |
CLBLL_R CLBLL_R_X13Y25 SLICEL SLICE[0,0] SLICE_X20Y25 SLICEL SLICE[1,0] SLICE_X21Y25 |
VBRK VBRK_X38Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y27 |
VFRAME VFRAME_X51Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y25 |
INT_L INT_L_X14Y25 TIEOFF TIEOFF[0,0] TIEOFF_X14Y25 |
INT_R INT_R_X15Y25 TIEOFF TIEOFF[0,0] TIEOFF_X15Y25 |
CLBLM_R CLBLM_R_X15Y25 SLICEM SLICE[0,0] SLICE_X22Y25 SLICEL SLICE[1,0] SLICE_X23Y25 |
VBRK VBRK_X56Y27 |
CLBLM_L CLBLM_L_X16Y25 SLICEM SLICE[0,0] SLICE_X24Y25 SLICEL SLICE[1,0] SLICE_X25Y25 |
INT_L INT_L_X16Y25 TIEOFF TIEOFF[0,0] TIEOFF_X16Y25 |
INT_R INT_R_X17Y25 TIEOFF TIEOFF[0,0] TIEOFF_X17Y25 |
CLBLM_R CLBLM_R_X17Y25 SLICEM SLICE[0,0] SLICE_X26Y25 SLICEL SLICE[1,0] SLICE_X27Y25 |
VBRK VBRK_X61Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y25 |
INT_L INT_L_X18Y25 TIEOFF TIEOFF[0,0] TIEOFF_X19Y25 |
INT_R INT_R_X19Y25 TIEOFF TIEOFF[0,0] TIEOFF_X20Y25 |
CLBLM_R CLBLM_R_X19Y25 SLICEM SLICE[0,0] SLICE_X28Y25 SLICEL SLICE[1,0] SLICE_X29Y25 |
CLBLM_L CLBLM_L_X20Y25 SLICEM SLICE[0,0] SLICE_X30Y25 SLICEL SLICE[1,0] SLICE_X31Y25 |
INT_L INT_L_X20Y25 TIEOFF TIEOFF[0,0] TIEOFF_X21Y25 |
INT_R INT_R_X21Y25 TIEOFF TIEOFF[0,0] TIEOFF_X22Y25 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y25 |
VBRK VBRK_X72Y27 |
CLBLL_L CLBLL_L_X22Y25 SLICEL SLICE[0,0] SLICE_X32Y25 SLICEL SLICE[1,0] SLICE_X33Y25 |
INT_L INT_L_X22Y25 TIEOFF TIEOFF[0,0] TIEOFF_X23Y25 |
INT_R INT_R_X23Y25 TIEOFF TIEOFF[0,0] TIEOFF_X24Y25 |
CLBLM_R CLBLM_R_X23Y25 SLICEM SLICE[0,0] SLICE_X34Y25 SLICEL SLICE[1,0] SLICE_X35Y25 |
CLBLL_L CLBLL_L_X24Y25 SLICEL SLICE[0,0] SLICE_X36Y25 SLICEL SLICE[1,0] SLICE_X37Y25 |
INT_L INT_L_X24Y25 TIEOFF TIEOFF[0,0] TIEOFF_X25Y25 |
INT_R INT_R_X25Y25 TIEOFF TIEOFF[0,0] TIEOFF_X26Y25 |
CLBLM_R CLBLM_R_X25Y25 SLICEM SLICE[0,0] SLICE_X38Y25 SLICEL SLICE[1,0] SLICE_X39Y25 |
VBRK VBRK_X81Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y25 |
INT_L INT_L_X26Y25 TIEOFF TIEOFF[0,0] TIEOFF_X27Y25 |
INT_R INT_R_X27Y25 TIEOFF TIEOFF[0,0] TIEOFF_X28Y25 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y25 |
R_TERM_INT R_TERM_INT_X88Y27 |
|||||||||||||
HCLK_IOB HCLK_IOB_X0Y26 |
HCLK_IOI3 HCLK_IOI3_X1Y26 BUFIO BUFIO[0,0] BUFIO_X0Y0 BUFIO BUFIO[0,1] BUFIO_X0Y1 BUFIO BUFIO[0,2] BUFIO_X0Y2 BUFIO BUFIO[0,3] BUFIO_X0Y3 BUFR BUFR[0,0] BUFR_X0Y0 BUFR BUFR[0,1] BUFR_X0Y1 BUFR BUFR[0,2] BUFR_X0Y2 BUFR BUFR[0,3] BUFR_X0Y3 IDELAYCTRL IDELAYCTRL[0,0] IDELAYCTRL_X0Y0 |
HCLK_TERM HCLK_TERM_X2Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X3Y26 |
HCLK_L HCLK_L_X4Y26 |
HCLK_R HCLK_R_X5Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X6Y26 |
HCLK_FIFO_L HCLK_FIFO_L_X7Y26 |
HCLK_CMT HCLK_CMT_X8Y26 BUFMRCE BUFMRCE[0,0] BUFMRCE_X0Y0 BUFMRCE BUFMRCE[0,1] BUFMRCE_X0Y1 |
HCLK_VBRK HCLK_VBRK_X9Y26 |
HCLK_CLB HCLK_CLB_X10Y26 |
HCLK_L HCLK_L_X11Y26 |
HCLK_R HCLK_R_X12Y26 |
HCLK_CLB HCLK_CLB_X13Y26 |
HCLK_CLB HCLK_CLB_X14Y26 |
HCLK_L HCLK_L_X15Y26 |
HCLK_R HCLK_R_X16Y26 |
HCLK_CLB HCLK_CLB_X17Y26 |
HCLK_VBRK HCLK_VBRK_X18Y26 |
HCLK_CLB HCLK_CLB_X19Y26 |
HCLK_L HCLK_L_X20Y26 |
HCLK_R HCLK_R_X21Y26 |
HCLK_CLB HCLK_CLB_X22Y26 |
HCLK_CLB HCLK_CLB_X23Y26 |
HCLK_L HCLK_L_X24Y26 |
HCLK_R HCLK_R_X25Y26 |
HCLK_CLB HCLK_CLB_X26Y26 |
HCLK_VBRK HCLK_VBRK_X27Y26 |
HCLK_CLB HCLK_CLB_X28Y26 |
HCLK_L HCLK_L_X29Y26 |
HCLK_R HCLK_R_X30Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X31Y26 |
HCLK_VBRK HCLK_VBRK_X33Y26 |
HCLK_CLB HCLK_CLB_X34Y26 |
HCLK_L HCLK_L_X35Y26 |
HCLK_R HCLK_R_X36Y26 |
HCLK_CLB HCLK_CLB_X37Y26 |
HCLK_VBRK HCLK_VBRK_X38Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X39Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X40Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X41Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X42Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X43Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X44Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X45Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X46Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X47Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X48Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X49Y26 |
HCLK_VFRAME HCLK_VFRAME_X51Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X52Y26 |
HCLK_L HCLK_L_X53Y26 |
HCLK_R HCLK_R_X54Y26 |
HCLK_CLB HCLK_CLB_X55Y26 |
HCLK_VBRK HCLK_VBRK_X56Y26 |
HCLK_CLB HCLK_CLB_X57Y26 |
HCLK_L HCLK_L_X58Y26 |
HCLK_R HCLK_R_X59Y26 |
HCLK_CLB HCLK_CLB_X60Y26 |
HCLK_VBRK HCLK_VBRK_X61Y26 |
HCLK_DSP_L HCLK_DSP_L_X62Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X63Y26 |
HCLK_L HCLK_L_X64Y26 |
HCLK_R HCLK_R_X65Y26 |
HCLK_CLB HCLK_CLB_X66Y26 |
HCLK_CLB HCLK_CLB_X67Y26 |
HCLK_L HCLK_L_X68Y26 |
HCLK_R HCLK_R_X69Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X70Y26 |
HCLK_BRAM HCLK_BRAM_X71Y26 PMVBRAM PMVBRAM[0,0] PMVBRAM_X0Y0 |
HCLK_VBRK HCLK_VBRK_X72Y26 |
HCLK_CLB HCLK_CLB_X73Y26 |
HCLK_L HCLK_L_X74Y26 |
HCLK_R HCLK_R_X75Y26 |
HCLK_CLB HCLK_CLB_X76Y26 |
HCLK_CLB HCLK_CLB_X77Y26 |
HCLK_L HCLK_L_X78Y26 |
HCLK_R HCLK_R_X79Y26 |
HCLK_CLB HCLK_CLB_X80Y26 |
HCLK_VBRK HCLK_VBRK_X81Y26 |
HCLK_CMT_L HCLK_CMT_L_X82Y26 BUFMRCE BUFMRCE[0,0] BUFMRCE_X1Y0 BUFMRCE BUFMRCE[0,1] BUFMRCE_X1Y1 |
HCLK_FIFO_L HCLK_FIFO_L_X83Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X84Y26 |
HCLK_L HCLK_L_X85Y26 |
HCLK_R HCLK_R_X86Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X87Y26 |
HCLK_TERM HCLK_TERM_X88Y26 |
HCLK_IOI3 HCLK_IOI3_X89Y26 BUFIO BUFIO[0,0] BUFIO_X1Y0 BUFIO BUFIO[0,1] BUFIO_X1Y1 BUFIO BUFIO[0,2] BUFIO_X1Y2 BUFIO BUFIO[0,3] BUFIO_X1Y3 BUFR BUFR[0,0] BUFR_X1Y0 BUFR BUFR[0,1] BUFR_X1Y1 BUFR BUFR[0,2] BUFR_X1Y2 BUFR BUFR[0,3] BUFR_X1Y3 IDELAYCTRL IDELAYCTRL[0,0] IDELAYCTRL_X1Y0 |
HCLK_IOB HCLK_IOB_X90Y26 |
|||
LIOB33 LIOB33_X0Y23 IOB33S IOB[0,0] IOB_X0Y23 IOB33M IOB[0,1] IOB_X0Y24 |
LIOI3 LIOI3_X0Y23 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y23 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y24 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y23 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y24 IDELAYE2 IDELAY[0,0] IDELAY_X0Y23 IDELAYE2 IDELAY[0,1] IDELAY_X0Y24 |
L_TERM_INT L_TERM_INT_X2Y25 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y24 |
INT_L INT_L_X0Y24 TIEOFF TIEOFF[0,0] TIEOFF_X0Y24 |
INT_R INT_R_X1Y24 TIEOFF TIEOFF[0,0] TIEOFF_X1Y24 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y24 |
CMT_FIFO_R CMT_FIFO_R_X7Y20 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y1 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y1 |
CMT_TOP_R_LOWER_T CMT_TOP_R_LOWER_T_X8Y18 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y0 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y1 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y0 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y1 |
VBRK VBRK_X9Y25 |
CLBLL_L CLBLL_L_X2Y24 SLICEL SLICE[0,0] SLICE_X0Y24 SLICEL SLICE[1,0] SLICE_X1Y24 |
INT_L INT_L_X2Y24 TIEOFF TIEOFF[0,0] TIEOFF_X2Y24 |
INT_R INT_R_X3Y24 TIEOFF TIEOFF[0,0] TIEOFF_X3Y24 |
CLBLM_R CLBLM_R_X3Y24 SLICEM SLICE[0,0] SLICE_X2Y24 SLICEL SLICE[1,0] SLICE_X3Y24 |
CLBLL_L CLBLL_L_X4Y24 SLICEL SLICE[0,0] SLICE_X4Y24 SLICEL SLICE[1,0] SLICE_X5Y24 |
INT_L INT_L_X4Y24 TIEOFF TIEOFF[0,0] TIEOFF_X4Y24 |
INT_R INT_R_X5Y24 TIEOFF TIEOFF[0,0] TIEOFF_X5Y24 |
CLBLM_R CLBLM_R_X5Y24 SLICEM SLICE[0,0] SLICE_X6Y24 SLICEL SLICE[1,0] SLICE_X7Y24 |
VBRK VBRK_X18Y25 |
CLBLL_L CLBLL_L_X6Y24 SLICEL SLICE[0,0] SLICE_X8Y24 SLICEL SLICE[1,0] SLICE_X9Y24 |
INT_L INT_L_X6Y24 TIEOFF TIEOFF[0,0] TIEOFF_X6Y24 |
INT_R INT_R_X7Y24 TIEOFF TIEOFF[0,0] TIEOFF_X7Y24 |
CLBLM_R CLBLM_R_X7Y24 SLICEM SLICE[0,0] SLICE_X10Y24 SLICEL SLICE[1,0] SLICE_X11Y24 |
CLBLL_L CLBLL_L_X8Y24 SLICEL SLICE[0,0] SLICE_X12Y24 SLICEL SLICE[1,0] SLICE_X13Y24 |
INT_L INT_L_X8Y24 TIEOFF TIEOFF[0,0] TIEOFF_X8Y24 |
INT_R INT_R_X9Y24 TIEOFF TIEOFF[0,0] TIEOFF_X9Y24 |
CLBLM_R CLBLM_R_X9Y24 SLICEM SLICE[0,0] SLICE_X14Y24 SLICEL SLICE[1,0] SLICE_X15Y24 |
VBRK VBRK_X27Y25 |
CLBLL_L CLBLL_L_X10Y24 SLICEL SLICE[0,0] SLICE_X16Y24 SLICEL SLICE[1,0] SLICE_X17Y24 |
INT_L INT_L_X10Y24 TIEOFF TIEOFF[0,0] TIEOFF_X10Y24 |
INT_R INT_R_X11Y24 TIEOFF TIEOFF[0,0] TIEOFF_X11Y24 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y24 |
VBRK VBRK_X33Y25 |
CLBLM_L CLBLM_L_X12Y24 SLICEM SLICE[0,0] SLICE_X18Y24 SLICEL SLICE[1,0] SLICE_X19Y24 |
INT_L INT_L_X12Y24 TIEOFF TIEOFF[0,0] TIEOFF_X12Y24 |
INT_R INT_R_X13Y24 TIEOFF TIEOFF[0,0] TIEOFF_X13Y24 |
CLBLL_R CLBLL_R_X13Y24 SLICEL SLICE[0,0] SLICE_X20Y24 SLICEL SLICE[1,0] SLICE_X21Y24 |
VBRK VBRK_X38Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y25 |
VFRAME VFRAME_X51Y25 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y24 |
INT_L INT_L_X14Y24 TIEOFF TIEOFF[0,0] TIEOFF_X14Y24 |
INT_R INT_R_X15Y24 TIEOFF TIEOFF[0,0] TIEOFF_X15Y24 |
CLBLM_R CLBLM_R_X15Y24 SLICEM SLICE[0,0] SLICE_X22Y24 SLICEL SLICE[1,0] SLICE_X23Y24 |
VBRK VBRK_X56Y25 |
CLBLM_L CLBLM_L_X16Y24 SLICEM SLICE[0,0] SLICE_X24Y24 SLICEL SLICE[1,0] SLICE_X25Y24 |
INT_L INT_L_X16Y24 TIEOFF TIEOFF[0,0] TIEOFF_X16Y24 |
INT_R INT_R_X17Y24 TIEOFF TIEOFF[0,0] TIEOFF_X17Y24 |
CLBLM_R CLBLM_R_X17Y24 SLICEM SLICE[0,0] SLICE_X26Y24 SLICEL SLICE[1,0] SLICE_X27Y24 |
VBRK VBRK_X61Y25 |
DSP_L DSP_L_X18Y20 TIEOFF TIEOFF[0,0] TIEOFF_X18Y20 DSP48E1 DSP48[0,0] DSP48_X0Y8 DSP48E1 DSP48[0,1] DSP48_X0Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y24 |
INT_L INT_L_X18Y24 TIEOFF TIEOFF[0,0] TIEOFF_X19Y24 |
INT_R INT_R_X19Y24 TIEOFF TIEOFF[0,0] TIEOFF_X20Y24 |
CLBLM_R CLBLM_R_X19Y24 SLICEM SLICE[0,0] SLICE_X28Y24 SLICEL SLICE[1,0] SLICE_X29Y24 |
CLBLM_L CLBLM_L_X20Y24 SLICEM SLICE[0,0] SLICE_X30Y24 SLICEL SLICE[1,0] SLICE_X31Y24 |
INT_L INT_L_X20Y24 TIEOFF TIEOFF[0,0] TIEOFF_X21Y24 |
INT_R INT_R_X21Y24 TIEOFF TIEOFF[0,0] TIEOFF_X22Y24 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y24 |
BRAM_R BRAM_R_X21Y20 FIFO18E1 RAMB18[0,0] RAMB18_X0Y8 RAMB18E1 RAMB18[0,1] RAMB18_X0Y9 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y4 |
VBRK VBRK_X72Y25 |
CLBLL_L CLBLL_L_X22Y24 SLICEL SLICE[0,0] SLICE_X32Y24 SLICEL SLICE[1,0] SLICE_X33Y24 |
INT_L INT_L_X22Y24 TIEOFF TIEOFF[0,0] TIEOFF_X23Y24 |
INT_R INT_R_X23Y24 TIEOFF TIEOFF[0,0] TIEOFF_X24Y24 |
CLBLM_R CLBLM_R_X23Y24 SLICEM SLICE[0,0] SLICE_X34Y24 SLICEL SLICE[1,0] SLICE_X35Y24 |
CLBLL_L CLBLL_L_X24Y24 SLICEL SLICE[0,0] SLICE_X36Y24 SLICEL SLICE[1,0] SLICE_X37Y24 |
INT_L INT_L_X24Y24 TIEOFF TIEOFF[0,0] TIEOFF_X25Y24 |
INT_R INT_R_X25Y24 TIEOFF TIEOFF[0,0] TIEOFF_X26Y24 |
CLBLM_R CLBLM_R_X25Y24 SLICEM SLICE[0,0] SLICE_X38Y24 SLICEL SLICE[1,0] SLICE_X39Y24 |
VBRK VBRK_X81Y25 |
CMT_TOP_L_LOWER_T CMT_TOP_L_LOWER_T_X82Y18 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X1Y0 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X1Y1 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X1Y0 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X1Y1 |
CMT_FIFO_L CMT_FIFO_L_X83Y20 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X1Y1 IN_FIFO IN_FIFO[0,0] IN_FIFO_X1Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y24 |
INT_L INT_L_X26Y24 TIEOFF TIEOFF[0,0] TIEOFF_X27Y24 |
INT_R INT_R_X27Y24 TIEOFF TIEOFF[0,0] TIEOFF_X28Y24 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y24 |
R_TERM_INT R_TERM_INT_X88Y25 |
RIOI3 RIOI3_X27Y23 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y23 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y24 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y23 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y24 IDELAYE2 IDELAY[0,0] IDELAY_X1Y23 IDELAYE2 IDELAY[0,1] IDELAY_X1Y24 |
RIOB33 RIOB33_X27Y23 IOB33S IOB[0,0] IOB_X1Y23 IOB33M IOB[0,1] IOB_X1Y24 |
|||
L_TERM_INT L_TERM_INT_X2Y24 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y23 |
INT_L INT_L_X0Y23 TIEOFF TIEOFF[0,0] TIEOFF_X0Y23 |
INT_R INT_R_X1Y23 TIEOFF TIEOFF[0,0] TIEOFF_X1Y23 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y23 |
VBRK VBRK_X9Y24 |
CLBLL_L CLBLL_L_X2Y23 SLICEL SLICE[0,0] SLICE_X0Y23 SLICEL SLICE[1,0] SLICE_X1Y23 |
INT_L INT_L_X2Y23 TIEOFF TIEOFF[0,0] TIEOFF_X2Y23 |
INT_R INT_R_X3Y23 TIEOFF TIEOFF[0,0] TIEOFF_X3Y23 |
CLBLM_R CLBLM_R_X3Y23 SLICEM SLICE[0,0] SLICE_X2Y23 SLICEL SLICE[1,0] SLICE_X3Y23 |
CLBLL_L CLBLL_L_X4Y23 SLICEL SLICE[0,0] SLICE_X4Y23 SLICEL SLICE[1,0] SLICE_X5Y23 |
INT_L INT_L_X4Y23 TIEOFF TIEOFF[0,0] TIEOFF_X4Y23 |
INT_R INT_R_X5Y23 TIEOFF TIEOFF[0,0] TIEOFF_X5Y23 |
CLBLM_R CLBLM_R_X5Y23 SLICEM SLICE[0,0] SLICE_X6Y23 SLICEL SLICE[1,0] SLICE_X7Y23 |
VBRK VBRK_X18Y24 |
CLBLL_L CLBLL_L_X6Y23 SLICEL SLICE[0,0] SLICE_X8Y23 SLICEL SLICE[1,0] SLICE_X9Y23 |
INT_L INT_L_X6Y23 TIEOFF TIEOFF[0,0] TIEOFF_X6Y23 |
INT_R INT_R_X7Y23 TIEOFF TIEOFF[0,0] TIEOFF_X7Y23 |
CLBLM_R CLBLM_R_X7Y23 SLICEM SLICE[0,0] SLICE_X10Y23 SLICEL SLICE[1,0] SLICE_X11Y23 |
CLBLL_L CLBLL_L_X8Y23 SLICEL SLICE[0,0] SLICE_X12Y23 SLICEL SLICE[1,0] SLICE_X13Y23 |
INT_L INT_L_X8Y23 TIEOFF TIEOFF[0,0] TIEOFF_X8Y23 |
INT_R INT_R_X9Y23 TIEOFF TIEOFF[0,0] TIEOFF_X9Y23 |
CLBLM_R CLBLM_R_X9Y23 SLICEM SLICE[0,0] SLICE_X14Y23 SLICEL SLICE[1,0] SLICE_X15Y23 |
VBRK VBRK_X27Y24 |
CLBLL_L CLBLL_L_X10Y23 SLICEL SLICE[0,0] SLICE_X16Y23 SLICEL SLICE[1,0] SLICE_X17Y23 |
INT_L INT_L_X10Y23 TIEOFF TIEOFF[0,0] TIEOFF_X10Y23 |
INT_R INT_R_X11Y23 TIEOFF TIEOFF[0,0] TIEOFF_X11Y23 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y23 |
VBRK VBRK_X33Y24 |
CLBLM_L CLBLM_L_X12Y23 SLICEM SLICE[0,0] SLICE_X18Y23 SLICEL SLICE[1,0] SLICE_X19Y23 |
INT_L INT_L_X12Y23 TIEOFF TIEOFF[0,0] TIEOFF_X12Y23 |
INT_R INT_R_X13Y23 TIEOFF TIEOFF[0,0] TIEOFF_X13Y23 |
CLBLL_R CLBLL_R_X13Y23 SLICEL SLICE[0,0] SLICE_X20Y23 SLICEL SLICE[1,0] SLICE_X21Y23 |
VBRK VBRK_X38Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y24 |
VFRAME VFRAME_X51Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y23 |
INT_L INT_L_X14Y23 TIEOFF TIEOFF[0,0] TIEOFF_X14Y23 |
INT_R INT_R_X15Y23 TIEOFF TIEOFF[0,0] TIEOFF_X15Y23 |
CLBLM_R CLBLM_R_X15Y23 SLICEM SLICE[0,0] SLICE_X22Y23 SLICEL SLICE[1,0] SLICE_X23Y23 |
VBRK VBRK_X56Y24 |
CLBLM_L CLBLM_L_X16Y23 SLICEM SLICE[0,0] SLICE_X24Y23 SLICEL SLICE[1,0] SLICE_X25Y23 |
INT_L INT_L_X16Y23 TIEOFF TIEOFF[0,0] TIEOFF_X16Y23 |
INT_R INT_R_X17Y23 TIEOFF TIEOFF[0,0] TIEOFF_X17Y23 |
CLBLM_R CLBLM_R_X17Y23 SLICEM SLICE[0,0] SLICE_X26Y23 SLICEL SLICE[1,0] SLICE_X27Y23 |
VBRK VBRK_X61Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y23 |
INT_L INT_L_X18Y23 TIEOFF TIEOFF[0,0] TIEOFF_X19Y23 |
INT_R INT_R_X19Y23 TIEOFF TIEOFF[0,0] TIEOFF_X20Y23 |
CLBLM_R CLBLM_R_X19Y23 SLICEM SLICE[0,0] SLICE_X28Y23 SLICEL SLICE[1,0] SLICE_X29Y23 |
CLBLM_L CLBLM_L_X20Y23 SLICEM SLICE[0,0] SLICE_X30Y23 SLICEL SLICE[1,0] SLICE_X31Y23 |
INT_L INT_L_X20Y23 TIEOFF TIEOFF[0,0] TIEOFF_X21Y23 |
INT_R INT_R_X21Y23 TIEOFF TIEOFF[0,0] TIEOFF_X22Y23 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y23 |
VBRK VBRK_X72Y24 |
CLBLL_L CLBLL_L_X22Y23 SLICEL SLICE[0,0] SLICE_X32Y23 SLICEL SLICE[1,0] SLICE_X33Y23 |
INT_L INT_L_X22Y23 TIEOFF TIEOFF[0,0] TIEOFF_X23Y23 |
INT_R INT_R_X23Y23 TIEOFF TIEOFF[0,0] TIEOFF_X24Y23 |
CLBLM_R CLBLM_R_X23Y23 SLICEM SLICE[0,0] SLICE_X34Y23 SLICEL SLICE[1,0] SLICE_X35Y23 |
CLBLL_L CLBLL_L_X24Y23 SLICEL SLICE[0,0] SLICE_X36Y23 SLICEL SLICE[1,0] SLICE_X37Y23 |
INT_L INT_L_X24Y23 TIEOFF TIEOFF[0,0] TIEOFF_X25Y23 |
INT_R INT_R_X25Y23 TIEOFF TIEOFF[0,0] TIEOFF_X26Y23 |
CLBLM_R CLBLM_R_X25Y23 SLICEM SLICE[0,0] SLICE_X38Y23 SLICEL SLICE[1,0] SLICE_X39Y23 |
VBRK VBRK_X81Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y23 |
INT_L INT_L_X26Y23 TIEOFF TIEOFF[0,0] TIEOFF_X27Y23 |
INT_R INT_R_X27Y23 TIEOFF TIEOFF[0,0] TIEOFF_X28Y23 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y23 |
R_TERM_INT R_TERM_INT_X88Y24 |
|||||||||||||
LIOB33 LIOB33_X0Y21 IOB33S IOB[0,0] IOB_X0Y21 IOB33M IOB[0,1] IOB_X0Y22 |
LIOI3 LIOI3_X0Y21 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y21 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y22 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y21 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y22 IDELAYE2 IDELAY[0,0] IDELAY_X0Y21 IDELAYE2 IDELAY[0,1] IDELAY_X0Y22 |
L_TERM_INT L_TERM_INT_X2Y23 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y22 |
INT_L INT_L_X0Y22 TIEOFF TIEOFF[0,0] TIEOFF_X0Y22 |
INT_R INT_R_X1Y22 TIEOFF TIEOFF[0,0] TIEOFF_X1Y22 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y22 |
VBRK VBRK_X9Y23 |
CLBLL_L CLBLL_L_X2Y22 SLICEL SLICE[0,0] SLICE_X0Y22 SLICEL SLICE[1,0] SLICE_X1Y22 |
INT_L INT_L_X2Y22 TIEOFF TIEOFF[0,0] TIEOFF_X2Y22 |
INT_R INT_R_X3Y22 TIEOFF TIEOFF[0,0] TIEOFF_X3Y22 |
CLBLM_R CLBLM_R_X3Y22 SLICEM SLICE[0,0] SLICE_X2Y22 SLICEL SLICE[1,0] SLICE_X3Y22 |
CLBLL_L CLBLL_L_X4Y22 SLICEL SLICE[0,0] SLICE_X4Y22 SLICEL SLICE[1,0] SLICE_X5Y22 |
INT_L INT_L_X4Y22 TIEOFF TIEOFF[0,0] TIEOFF_X4Y22 |
INT_R INT_R_X5Y22 TIEOFF TIEOFF[0,0] TIEOFF_X5Y22 |
CLBLM_R CLBLM_R_X5Y22 SLICEM SLICE[0,0] SLICE_X6Y22 SLICEL SLICE[1,0] SLICE_X7Y22 |
VBRK VBRK_X18Y23 |
CLBLL_L CLBLL_L_X6Y22 SLICEL SLICE[0,0] SLICE_X8Y22 SLICEL SLICE[1,0] SLICE_X9Y22 |
INT_L INT_L_X6Y22 TIEOFF TIEOFF[0,0] TIEOFF_X6Y22 |
INT_R INT_R_X7Y22 TIEOFF TIEOFF[0,0] TIEOFF_X7Y22 |
CLBLM_R CLBLM_R_X7Y22 SLICEM SLICE[0,0] SLICE_X10Y22 SLICEL SLICE[1,0] SLICE_X11Y22 |
CLBLL_L CLBLL_L_X8Y22 SLICEL SLICE[0,0] SLICE_X12Y22 SLICEL SLICE[1,0] SLICE_X13Y22 |
INT_L INT_L_X8Y22 TIEOFF TIEOFF[0,0] TIEOFF_X8Y22 |
INT_R INT_R_X9Y22 TIEOFF TIEOFF[0,0] TIEOFF_X9Y22 |
CLBLM_R CLBLM_R_X9Y22 SLICEM SLICE[0,0] SLICE_X14Y22 SLICEL SLICE[1,0] SLICE_X15Y22 |
VBRK VBRK_X27Y23 |
CLBLL_L CLBLL_L_X10Y22 SLICEL SLICE[0,0] SLICE_X16Y22 SLICEL SLICE[1,0] SLICE_X17Y22 |
INT_L INT_L_X10Y22 TIEOFF TIEOFF[0,0] TIEOFF_X10Y22 |
INT_R INT_R_X11Y22 TIEOFF TIEOFF[0,0] TIEOFF_X11Y22 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y22 |
VBRK VBRK_X33Y23 |
CLBLM_L CLBLM_L_X12Y22 SLICEM SLICE[0,0] SLICE_X18Y22 SLICEL SLICE[1,0] SLICE_X19Y22 |
INT_L INT_L_X12Y22 TIEOFF TIEOFF[0,0] TIEOFF_X12Y22 |
INT_R INT_R_X13Y22 TIEOFF TIEOFF[0,0] TIEOFF_X13Y22 |
CLBLL_R CLBLL_R_X13Y22 SLICEL SLICE[0,0] SLICE_X20Y22 SLICEL SLICE[1,0] SLICE_X21Y22 |
VBRK VBRK_X38Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y23 |
VFRAME VFRAME_X51Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y22 |
INT_L INT_L_X14Y22 TIEOFF TIEOFF[0,0] TIEOFF_X14Y22 |
INT_R INT_R_X15Y22 TIEOFF TIEOFF[0,0] TIEOFF_X15Y22 |
CLBLM_R CLBLM_R_X15Y22 SLICEM SLICE[0,0] SLICE_X22Y22 SLICEL SLICE[1,0] SLICE_X23Y22 |
VBRK VBRK_X56Y23 |
CLBLM_L CLBLM_L_X16Y22 SLICEM SLICE[0,0] SLICE_X24Y22 SLICEL SLICE[1,0] SLICE_X25Y22 |
INT_L INT_L_X16Y22 TIEOFF TIEOFF[0,0] TIEOFF_X16Y22 |
INT_R INT_R_X17Y22 TIEOFF TIEOFF[0,0] TIEOFF_X17Y22 |
CLBLM_R CLBLM_R_X17Y22 SLICEM SLICE[0,0] SLICE_X26Y22 SLICEL SLICE[1,0] SLICE_X27Y22 |
VBRK VBRK_X61Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y22 |
INT_L INT_L_X18Y22 TIEOFF TIEOFF[0,0] TIEOFF_X19Y22 |
INT_R INT_R_X19Y22 TIEOFF TIEOFF[0,0] TIEOFF_X20Y22 |
CLBLM_R CLBLM_R_X19Y22 SLICEM SLICE[0,0] SLICE_X28Y22 SLICEL SLICE[1,0] SLICE_X29Y22 |
CLBLM_L CLBLM_L_X20Y22 SLICEM SLICE[0,0] SLICE_X30Y22 SLICEL SLICE[1,0] SLICE_X31Y22 |
INT_L INT_L_X20Y22 TIEOFF TIEOFF[0,0] TIEOFF_X21Y22 |
INT_R INT_R_X21Y22 TIEOFF TIEOFF[0,0] TIEOFF_X22Y22 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y22 |
VBRK VBRK_X72Y23 |
CLBLL_L CLBLL_L_X22Y22 SLICEL SLICE[0,0] SLICE_X32Y22 SLICEL SLICE[1,0] SLICE_X33Y22 |
INT_L INT_L_X22Y22 TIEOFF TIEOFF[0,0] TIEOFF_X23Y22 |
INT_R INT_R_X23Y22 TIEOFF TIEOFF[0,0] TIEOFF_X24Y22 |
CLBLM_R CLBLM_R_X23Y22 SLICEM SLICE[0,0] SLICE_X34Y22 SLICEL SLICE[1,0] SLICE_X35Y22 |
CLBLL_L CLBLL_L_X24Y22 SLICEL SLICE[0,0] SLICE_X36Y22 SLICEL SLICE[1,0] SLICE_X37Y22 |
INT_L INT_L_X24Y22 TIEOFF TIEOFF[0,0] TIEOFF_X25Y22 |
INT_R INT_R_X25Y22 TIEOFF TIEOFF[0,0] TIEOFF_X26Y22 |
CLBLM_R CLBLM_R_X25Y22 SLICEM SLICE[0,0] SLICE_X38Y22 SLICEL SLICE[1,0] SLICE_X39Y22 |
VBRK VBRK_X81Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y22 |
INT_L INT_L_X26Y22 TIEOFF TIEOFF[0,0] TIEOFF_X27Y22 |
INT_R INT_R_X27Y22 TIEOFF TIEOFF[0,0] TIEOFF_X28Y22 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y22 |
R_TERM_INT R_TERM_INT_X88Y23 |
RIOI3 RIOI3_X27Y21 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y21 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y22 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y21 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y22 IDELAYE2 IDELAY[0,0] IDELAY_X1Y21 IDELAYE2 IDELAY[0,1] IDELAY_X1Y22 |
RIOB33 RIOB33_X27Y21 IOB33S IOB[0,0] IOB_X1Y21 IOB33M IOB[0,1] IOB_X1Y22 |
|||||||||
L_TERM_INT L_TERM_INT_X2Y22 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y21 |
INT_L INT_L_X0Y21 TIEOFF TIEOFF[0,0] TIEOFF_X0Y21 |
INT_R INT_R_X1Y21 TIEOFF TIEOFF[0,0] TIEOFF_X1Y21 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y21 |
VBRK VBRK_X9Y22 |
CLBLL_L CLBLL_L_X2Y21 SLICEL SLICE[0,0] SLICE_X0Y21 SLICEL SLICE[1,0] SLICE_X1Y21 |
INT_L INT_L_X2Y21 TIEOFF TIEOFF[0,0] TIEOFF_X2Y21 |
INT_R INT_R_X3Y21 TIEOFF TIEOFF[0,0] TIEOFF_X3Y21 |
CLBLM_R CLBLM_R_X3Y21 SLICEM SLICE[0,0] SLICE_X2Y21 SLICEL SLICE[1,0] SLICE_X3Y21 |
CLBLL_L CLBLL_L_X4Y21 SLICEL SLICE[0,0] SLICE_X4Y21 SLICEL SLICE[1,0] SLICE_X5Y21 |
INT_L INT_L_X4Y21 TIEOFF TIEOFF[0,0] TIEOFF_X4Y21 |
INT_R INT_R_X5Y21 TIEOFF TIEOFF[0,0] TIEOFF_X5Y21 |
CLBLM_R CLBLM_R_X5Y21 SLICEM SLICE[0,0] SLICE_X6Y21 SLICEL SLICE[1,0] SLICE_X7Y21 |
VBRK VBRK_X18Y22 |
CLBLL_L CLBLL_L_X6Y21 SLICEL SLICE[0,0] SLICE_X8Y21 SLICEL SLICE[1,0] SLICE_X9Y21 |
INT_L INT_L_X6Y21 TIEOFF TIEOFF[0,0] TIEOFF_X6Y21 |
INT_R INT_R_X7Y21 TIEOFF TIEOFF[0,0] TIEOFF_X7Y21 |
CLBLM_R CLBLM_R_X7Y21 SLICEM SLICE[0,0] SLICE_X10Y21 SLICEL SLICE[1,0] SLICE_X11Y21 |
CLBLL_L CLBLL_L_X8Y21 SLICEL SLICE[0,0] SLICE_X12Y21 SLICEL SLICE[1,0] SLICE_X13Y21 |
INT_L INT_L_X8Y21 TIEOFF TIEOFF[0,0] TIEOFF_X8Y21 |
INT_R INT_R_X9Y21 TIEOFF TIEOFF[0,0] TIEOFF_X9Y21 |
CLBLM_R CLBLM_R_X9Y21 SLICEM SLICE[0,0] SLICE_X14Y21 SLICEL SLICE[1,0] SLICE_X15Y21 |
VBRK VBRK_X27Y22 |
CLBLL_L CLBLL_L_X10Y21 SLICEL SLICE[0,0] SLICE_X16Y21 SLICEL SLICE[1,0] SLICE_X17Y21 |
INT_L INT_L_X10Y21 TIEOFF TIEOFF[0,0] TIEOFF_X10Y21 |
INT_R INT_R_X11Y21 TIEOFF TIEOFF[0,0] TIEOFF_X11Y21 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y21 |
VBRK VBRK_X33Y22 |
CLBLM_L CLBLM_L_X12Y21 SLICEM SLICE[0,0] SLICE_X18Y21 SLICEL SLICE[1,0] SLICE_X19Y21 |
INT_L INT_L_X12Y21 TIEOFF TIEOFF[0,0] TIEOFF_X12Y21 |
INT_R INT_R_X13Y21 TIEOFF TIEOFF[0,0] TIEOFF_X13Y21 |
CLBLL_R CLBLL_R_X13Y21 SLICEL SLICE[0,0] SLICE_X20Y21 SLICEL SLICE[1,0] SLICE_X21Y21 |
VBRK VBRK_X38Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y22 |
VFRAME VFRAME_X51Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y21 |
INT_L INT_L_X14Y21 TIEOFF TIEOFF[0,0] TIEOFF_X14Y21 |
INT_R INT_R_X15Y21 TIEOFF TIEOFF[0,0] TIEOFF_X15Y21 |
CLBLM_R CLBLM_R_X15Y21 SLICEM SLICE[0,0] SLICE_X22Y21 SLICEL SLICE[1,0] SLICE_X23Y21 |
VBRK VBRK_X56Y22 |
CLBLM_L CLBLM_L_X16Y21 SLICEM SLICE[0,0] SLICE_X24Y21 SLICEL SLICE[1,0] SLICE_X25Y21 |
INT_L INT_L_X16Y21 TIEOFF TIEOFF[0,0] TIEOFF_X16Y21 |
INT_R INT_R_X17Y21 TIEOFF TIEOFF[0,0] TIEOFF_X17Y21 |
CLBLM_R CLBLM_R_X17Y21 SLICEM SLICE[0,0] SLICE_X26Y21 SLICEL SLICE[1,0] SLICE_X27Y21 |
VBRK VBRK_X61Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y21 |
INT_L INT_L_X18Y21 TIEOFF TIEOFF[0,0] TIEOFF_X19Y21 |
INT_R INT_R_X19Y21 TIEOFF TIEOFF[0,0] TIEOFF_X20Y21 |
CLBLM_R CLBLM_R_X19Y21 SLICEM SLICE[0,0] SLICE_X28Y21 SLICEL SLICE[1,0] SLICE_X29Y21 |
CLBLM_L CLBLM_L_X20Y21 SLICEM SLICE[0,0] SLICE_X30Y21 SLICEL SLICE[1,0] SLICE_X31Y21 |
INT_L INT_L_X20Y21 TIEOFF TIEOFF[0,0] TIEOFF_X21Y21 |
INT_R INT_R_X21Y21 TIEOFF TIEOFF[0,0] TIEOFF_X22Y21 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y21 |
VBRK VBRK_X72Y22 |
CLBLL_L CLBLL_L_X22Y21 SLICEL SLICE[0,0] SLICE_X32Y21 SLICEL SLICE[1,0] SLICE_X33Y21 |
INT_L INT_L_X22Y21 TIEOFF TIEOFF[0,0] TIEOFF_X23Y21 |
INT_R INT_R_X23Y21 TIEOFF TIEOFF[0,0] TIEOFF_X24Y21 |
CLBLM_R CLBLM_R_X23Y21 SLICEM SLICE[0,0] SLICE_X34Y21 SLICEL SLICE[1,0] SLICE_X35Y21 |
CLBLL_L CLBLL_L_X24Y21 SLICEL SLICE[0,0] SLICE_X36Y21 SLICEL SLICE[1,0] SLICE_X37Y21 |
INT_L INT_L_X24Y21 TIEOFF TIEOFF[0,0] TIEOFF_X25Y21 |
INT_R INT_R_X25Y21 TIEOFF TIEOFF[0,0] TIEOFF_X26Y21 |
CLBLM_R CLBLM_R_X25Y21 SLICEM SLICE[0,0] SLICE_X38Y21 SLICEL SLICE[1,0] SLICE_X39Y21 |
VBRK VBRK_X81Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y21 |
INT_L INT_L_X26Y21 TIEOFF TIEOFF[0,0] TIEOFF_X27Y21 |
INT_R INT_R_X27Y21 TIEOFF TIEOFF[0,0] TIEOFF_X28Y21 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y21 |
R_TERM_INT R_TERM_INT_X88Y22 |
|||||||||||||
LIOB33 LIOB33_X0Y19 IOB33S IOB[0,0] IOB_X0Y19 IOB33M IOB[0,1] IOB_X0Y20 |
LIOI3_TBYTESRC LIOI3_TBYTESRC_X0Y19 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y19 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y20 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y19 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y20 IDELAYE2 IDELAY[0,0] IDELAY_X0Y19 IDELAYE2 IDELAY[0,1] IDELAY_X0Y20 |
L_TERM_INT L_TERM_INT_X2Y21 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y20 |
INT_L INT_L_X0Y20 TIEOFF TIEOFF[0,0] TIEOFF_X0Y20 |
INT_R INT_R_X1Y20 TIEOFF TIEOFF[0,0] TIEOFF_X1Y20 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y20 |
VBRK VBRK_X9Y21 |
CLBLL_L CLBLL_L_X2Y20 SLICEL SLICE[0,0] SLICE_X0Y20 SLICEL SLICE[1,0] SLICE_X1Y20 |
INT_L INT_L_X2Y20 TIEOFF TIEOFF[0,0] TIEOFF_X2Y20 |
INT_R INT_R_X3Y20 TIEOFF TIEOFF[0,0] TIEOFF_X3Y20 |
CLBLM_R CLBLM_R_X3Y20 SLICEM SLICE[0,0] SLICE_X2Y20 SLICEL SLICE[1,0] SLICE_X3Y20 |
CLBLL_L CLBLL_L_X4Y20 SLICEL SLICE[0,0] SLICE_X4Y20 SLICEL SLICE[1,0] SLICE_X5Y20 |
INT_L INT_L_X4Y20 TIEOFF TIEOFF[0,0] TIEOFF_X4Y20 |
INT_R INT_R_X5Y20 TIEOFF TIEOFF[0,0] TIEOFF_X5Y20 |
CLBLM_R CLBLM_R_X5Y20 SLICEM SLICE[0,0] SLICE_X6Y20 SLICEL SLICE[1,0] SLICE_X7Y20 |
VBRK VBRK_X18Y21 |
CLBLL_L CLBLL_L_X6Y20 SLICEL SLICE[0,0] SLICE_X8Y20 SLICEL SLICE[1,0] SLICE_X9Y20 |
INT_L INT_L_X6Y20 TIEOFF TIEOFF[0,0] TIEOFF_X6Y20 |
INT_R INT_R_X7Y20 TIEOFF TIEOFF[0,0] TIEOFF_X7Y20 |
CLBLM_R CLBLM_R_X7Y20 SLICEM SLICE[0,0] SLICE_X10Y20 SLICEL SLICE[1,0] SLICE_X11Y20 |
CLBLL_L CLBLL_L_X8Y20 SLICEL SLICE[0,0] SLICE_X12Y20 SLICEL SLICE[1,0] SLICE_X13Y20 |
INT_L INT_L_X8Y20 TIEOFF TIEOFF[0,0] TIEOFF_X8Y20 |
INT_R INT_R_X9Y20 TIEOFF TIEOFF[0,0] TIEOFF_X9Y20 |
CLBLM_R CLBLM_R_X9Y20 SLICEM SLICE[0,0] SLICE_X14Y20 SLICEL SLICE[1,0] SLICE_X15Y20 |
VBRK VBRK_X27Y21 |
CLBLL_L CLBLL_L_X10Y20 SLICEL SLICE[0,0] SLICE_X16Y20 SLICEL SLICE[1,0] SLICE_X17Y20 |
INT_L INT_L_X10Y20 TIEOFF TIEOFF[0,0] TIEOFF_X10Y20 |
INT_R INT_R_X11Y20 TIEOFF TIEOFF[0,0] TIEOFF_X11Y20 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y20 |
CLK_FEED CLK_FEED_X32Y21 |
VBRK VBRK_X33Y21 |
CLBLM_L CLBLM_L_X12Y20 SLICEM SLICE[0,0] SLICE_X18Y20 SLICEL SLICE[1,0] SLICE_X19Y20 |
INT_L INT_L_X12Y20 TIEOFF TIEOFF[0,0] TIEOFF_X12Y20 |
INT_R INT_R_X13Y20 TIEOFF TIEOFF[0,0] TIEOFF_X13Y20 |
CLBLL_R CLBLL_R_X13Y20 SLICEL SLICE[0,0] SLICE_X20Y20 SLICEL SLICE[1,0] SLICE_X21Y20 |
VBRK VBRK_X38Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y21 |
VFRAME VFRAME_X51Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y20 |
INT_L INT_L_X14Y20 TIEOFF TIEOFF[0,0] TIEOFF_X14Y20 |
INT_R INT_R_X15Y20 TIEOFF TIEOFF[0,0] TIEOFF_X15Y20 |
CLBLM_R CLBLM_R_X15Y20 SLICEM SLICE[0,0] SLICE_X22Y20 SLICEL SLICE[1,0] SLICE_X23Y20 |
VBRK VBRK_X56Y21 |
CLBLM_L CLBLM_L_X16Y20 SLICEM SLICE[0,0] SLICE_X24Y20 SLICEL SLICE[1,0] SLICE_X25Y20 |
INT_L INT_L_X16Y20 TIEOFF TIEOFF[0,0] TIEOFF_X16Y20 |
INT_R INT_R_X17Y20 TIEOFF TIEOFF[0,0] TIEOFF_X17Y20 |
CLBLM_R CLBLM_R_X17Y20 SLICEM SLICE[0,0] SLICE_X26Y20 SLICEL SLICE[1,0] SLICE_X27Y20 |
VBRK VBRK_X61Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y20 |
INT_L INT_L_X18Y20 TIEOFF TIEOFF[0,0] TIEOFF_X19Y20 |
INT_R INT_R_X19Y20 TIEOFF TIEOFF[0,0] TIEOFF_X20Y20 |
CLBLM_R CLBLM_R_X19Y20 SLICEM SLICE[0,0] SLICE_X28Y20 SLICEL SLICE[1,0] SLICE_X29Y20 |
CLBLM_L CLBLM_L_X20Y20 SLICEM SLICE[0,0] SLICE_X30Y20 SLICEL SLICE[1,0] SLICE_X31Y20 |
INT_L INT_L_X20Y20 TIEOFF TIEOFF[0,0] TIEOFF_X21Y20 |
INT_R INT_R_X21Y20 TIEOFF TIEOFF[0,0] TIEOFF_X22Y20 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y20 |
VBRK VBRK_X72Y21 |
CLBLL_L CLBLL_L_X22Y20 SLICEL SLICE[0,0] SLICE_X32Y20 SLICEL SLICE[1,0] SLICE_X33Y20 |
INT_L INT_L_X22Y20 TIEOFF TIEOFF[0,0] TIEOFF_X23Y20 |
INT_R INT_R_X23Y20 TIEOFF TIEOFF[0,0] TIEOFF_X24Y20 |
CLBLM_R CLBLM_R_X23Y20 SLICEM SLICE[0,0] SLICE_X34Y20 SLICEL SLICE[1,0] SLICE_X35Y20 |
CLBLL_L CLBLL_L_X24Y20 SLICEL SLICE[0,0] SLICE_X36Y20 SLICEL SLICE[1,0] SLICE_X37Y20 |
INT_L INT_L_X24Y20 TIEOFF TIEOFF[0,0] TIEOFF_X25Y20 |
INT_R INT_R_X25Y20 TIEOFF TIEOFF[0,0] TIEOFF_X26Y20 |
CLBLM_R CLBLM_R_X25Y20 SLICEM SLICE[0,0] SLICE_X38Y20 SLICEL SLICE[1,0] SLICE_X39Y20 |
VBRK VBRK_X81Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y20 |
INT_L INT_L_X26Y20 TIEOFF TIEOFF[0,0] TIEOFF_X27Y20 |
INT_R INT_R_X27Y20 TIEOFF TIEOFF[0,0] TIEOFF_X28Y20 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y20 |
R_TERM_INT R_TERM_INT_X88Y21 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X27Y19 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y19 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y20 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y19 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y20 IDELAYE2 IDELAY[0,0] IDELAY_X1Y19 IDELAYE2 IDELAY[0,1] IDELAY_X1Y20 |
RIOB33 RIOB33_X27Y19 IOB33S IOB[0,0] IOB_X1Y19 IOB33M IOB[0,1] IOB_X1Y20 |
||||||||
L_TERM_INT L_TERM_INT_X2Y20 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y19 |
INT_L INT_L_X0Y19 TIEOFF TIEOFF[0,0] TIEOFF_X0Y19 |
INT_R INT_R_X1Y19 TIEOFF TIEOFF[0,0] TIEOFF_X1Y19 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y19 |
VBRK VBRK_X9Y20 |
CLBLL_L CLBLL_L_X2Y19 SLICEL SLICE[0,0] SLICE_X0Y19 SLICEL SLICE[1,0] SLICE_X1Y19 |
INT_L INT_L_X2Y19 TIEOFF TIEOFF[0,0] TIEOFF_X2Y19 |
INT_R INT_R_X3Y19 TIEOFF TIEOFF[0,0] TIEOFF_X3Y19 |
CLBLM_R CLBLM_R_X3Y19 SLICEM SLICE[0,0] SLICE_X2Y19 SLICEL SLICE[1,0] SLICE_X3Y19 |
CLBLL_L CLBLL_L_X4Y19 SLICEL SLICE[0,0] SLICE_X4Y19 SLICEL SLICE[1,0] SLICE_X5Y19 |
INT_L INT_L_X4Y19 TIEOFF TIEOFF[0,0] TIEOFF_X4Y19 |
INT_R INT_R_X5Y19 TIEOFF TIEOFF[0,0] TIEOFF_X5Y19 |
CLBLM_R CLBLM_R_X5Y19 SLICEM SLICE[0,0] SLICE_X6Y19 SLICEL SLICE[1,0] SLICE_X7Y19 |
VBRK VBRK_X18Y20 |
CLBLL_L CLBLL_L_X6Y19 SLICEL SLICE[0,0] SLICE_X8Y19 SLICEL SLICE[1,0] SLICE_X9Y19 |
INT_L INT_L_X6Y19 TIEOFF TIEOFF[0,0] TIEOFF_X6Y19 |
INT_R INT_R_X7Y19 TIEOFF TIEOFF[0,0] TIEOFF_X7Y19 |
CLBLM_R CLBLM_R_X7Y19 SLICEM SLICE[0,0] SLICE_X10Y19 SLICEL SLICE[1,0] SLICE_X11Y19 |
CLBLL_L CLBLL_L_X8Y19 SLICEL SLICE[0,0] SLICE_X12Y19 SLICEL SLICE[1,0] SLICE_X13Y19 |
INT_L INT_L_X8Y19 TIEOFF TIEOFF[0,0] TIEOFF_X8Y19 |
INT_R INT_R_X9Y19 TIEOFF TIEOFF[0,0] TIEOFF_X9Y19 |
CLBLM_R CLBLM_R_X9Y19 SLICEM SLICE[0,0] SLICE_X14Y19 SLICEL SLICE[1,0] SLICE_X15Y19 |
VBRK VBRK_X27Y20 |
CLBLL_L CLBLL_L_X10Y19 SLICEL SLICE[0,0] SLICE_X16Y19 SLICEL SLICE[1,0] SLICE_X17Y19 |
INT_L INT_L_X10Y19 TIEOFF TIEOFF[0,0] TIEOFF_X10Y19 |
INT_R INT_R_X11Y19 TIEOFF TIEOFF[0,0] TIEOFF_X11Y19 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y19 |
CLK_FEED CLK_FEED_X32Y20 |
VBRK VBRK_X33Y20 |
CLBLM_L CLBLM_L_X12Y19 SLICEM SLICE[0,0] SLICE_X18Y19 SLICEL SLICE[1,0] SLICE_X19Y19 |
INT_L INT_L_X12Y19 TIEOFF TIEOFF[0,0] TIEOFF_X12Y19 |
INT_R INT_R_X13Y19 TIEOFF TIEOFF[0,0] TIEOFF_X13Y19 |
CLBLL_R CLBLL_R_X13Y19 SLICEL SLICE[0,0] SLICE_X20Y19 SLICEL SLICE[1,0] SLICE_X21Y19 |
VBRK VBRK_X38Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y20 |
CFG_CENTER_BOT CFG_CENTER_BOT_X50Y11 |
VFRAME VFRAME_X51Y20 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y19 |
INT_L INT_L_X14Y19 TIEOFF TIEOFF[0,0] TIEOFF_X14Y19 |
INT_R INT_R_X15Y19 TIEOFF TIEOFF[0,0] TIEOFF_X15Y19 |
CLBLM_R CLBLM_R_X15Y19 SLICEM SLICE[0,0] SLICE_X22Y19 SLICEL SLICE[1,0] SLICE_X23Y19 |
VBRK VBRK_X56Y20 |
CLBLM_L CLBLM_L_X16Y19 SLICEM SLICE[0,0] SLICE_X24Y19 SLICEL SLICE[1,0] SLICE_X25Y19 |
INT_L INT_L_X16Y19 TIEOFF TIEOFF[0,0] TIEOFF_X16Y19 |
INT_R INT_R_X17Y19 TIEOFF TIEOFF[0,0] TIEOFF_X17Y19 |
CLBLM_R CLBLM_R_X17Y19 SLICEM SLICE[0,0] SLICE_X26Y19 SLICEL SLICE[1,0] SLICE_X27Y19 |
VBRK VBRK_X61Y20 |
DSP_L DSP_L_X18Y15 TIEOFF TIEOFF[0,0] TIEOFF_X18Y15 DSP48E1 DSP48[0,0] DSP48_X0Y6 DSP48E1 DSP48[0,1] DSP48_X0Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y19 |
INT_L INT_L_X18Y19 TIEOFF TIEOFF[0,0] TIEOFF_X19Y19 |
INT_R INT_R_X19Y19 TIEOFF TIEOFF[0,0] TIEOFF_X20Y19 |
CLBLM_R CLBLM_R_X19Y19 SLICEM SLICE[0,0] SLICE_X28Y19 SLICEL SLICE[1,0] SLICE_X29Y19 |
CLBLM_L CLBLM_L_X20Y19 SLICEM SLICE[0,0] SLICE_X30Y19 SLICEL SLICE[1,0] SLICE_X31Y19 |
INT_L INT_L_X20Y19 TIEOFF TIEOFF[0,0] TIEOFF_X21Y19 |
INT_R INT_R_X21Y19 TIEOFF TIEOFF[0,0] TIEOFF_X22Y19 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y19 |
BRAM_R BRAM_R_X21Y15 FIFO18E1 RAMB18[0,0] RAMB18_X0Y6 RAMB18E1 RAMB18[0,1] RAMB18_X0Y7 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y3 |
VBRK VBRK_X72Y20 |
CLBLL_L CLBLL_L_X22Y19 SLICEL SLICE[0,0] SLICE_X32Y19 SLICEL SLICE[1,0] SLICE_X33Y19 |
INT_L INT_L_X22Y19 TIEOFF TIEOFF[0,0] TIEOFF_X23Y19 |
INT_R INT_R_X23Y19 TIEOFF TIEOFF[0,0] TIEOFF_X24Y19 |
CLBLM_R CLBLM_R_X23Y19 SLICEM SLICE[0,0] SLICE_X34Y19 SLICEL SLICE[1,0] SLICE_X35Y19 |
CLBLL_L CLBLL_L_X24Y19 SLICEL SLICE[0,0] SLICE_X36Y19 SLICEL SLICE[1,0] SLICE_X37Y19 |
INT_L INT_L_X24Y19 TIEOFF TIEOFF[0,0] TIEOFF_X25Y19 |
INT_R INT_R_X25Y19 TIEOFF TIEOFF[0,0] TIEOFF_X26Y19 |
CLBLM_R CLBLM_R_X25Y19 SLICEM SLICE[0,0] SLICE_X38Y19 SLICEL SLICE[1,0] SLICE_X39Y19 |
VBRK VBRK_X81Y20 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y19 |
INT_L INT_L_X26Y19 TIEOFF TIEOFF[0,0] TIEOFF_X27Y19 |
INT_R INT_R_X27Y19 TIEOFF TIEOFF[0,0] TIEOFF_X28Y19 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y19 |
R_TERM_INT R_TERM_INT_X88Y20 |
|||||||||
LIOB33 LIOB33_X0Y17 IOB33S IOB[0,0] IOB_X0Y17 IOB33M IOB[0,1] IOB_X0Y18 |
LIOI3 LIOI3_X0Y17 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y17 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y18 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y17 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y18 IDELAYE2 IDELAY[0,0] IDELAY_X0Y17 IDELAYE2 IDELAY[0,1] IDELAY_X0Y18 |
L_TERM_INT L_TERM_INT_X2Y19 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y18 |
INT_L INT_L_X0Y18 TIEOFF TIEOFF[0,0] TIEOFF_X0Y18 |
INT_R INT_R_X1Y18 TIEOFF TIEOFF[0,0] TIEOFF_X1Y18 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y18 |
VBRK VBRK_X9Y19 |
CLBLL_L CLBLL_L_X2Y18 SLICEL SLICE[0,0] SLICE_X0Y18 SLICEL SLICE[1,0] SLICE_X1Y18 |
INT_L INT_L_X2Y18 TIEOFF TIEOFF[0,0] TIEOFF_X2Y18 |
INT_R INT_R_X3Y18 TIEOFF TIEOFF[0,0] TIEOFF_X3Y18 |
CLBLM_R CLBLM_R_X3Y18 SLICEM SLICE[0,0] SLICE_X2Y18 SLICEL SLICE[1,0] SLICE_X3Y18 |
CLBLL_L CLBLL_L_X4Y18 SLICEL SLICE[0,0] SLICE_X4Y18 SLICEL SLICE[1,0] SLICE_X5Y18 |
INT_L INT_L_X4Y18 TIEOFF TIEOFF[0,0] TIEOFF_X4Y18 |
INT_R INT_R_X5Y18 TIEOFF TIEOFF[0,0] TIEOFF_X5Y18 |
CLBLM_R CLBLM_R_X5Y18 SLICEM SLICE[0,0] SLICE_X6Y18 SLICEL SLICE[1,0] SLICE_X7Y18 |
VBRK VBRK_X18Y19 |
CLBLL_L CLBLL_L_X6Y18 SLICEL SLICE[0,0] SLICE_X8Y18 SLICEL SLICE[1,0] SLICE_X9Y18 |
INT_L INT_L_X6Y18 TIEOFF TIEOFF[0,0] TIEOFF_X6Y18 |
INT_R INT_R_X7Y18 TIEOFF TIEOFF[0,0] TIEOFF_X7Y18 |
CLBLM_R CLBLM_R_X7Y18 SLICEM SLICE[0,0] SLICE_X10Y18 SLICEL SLICE[1,0] SLICE_X11Y18 |
CLBLL_L CLBLL_L_X8Y18 SLICEL SLICE[0,0] SLICE_X12Y18 SLICEL SLICE[1,0] SLICE_X13Y18 |
INT_L INT_L_X8Y18 TIEOFF TIEOFF[0,0] TIEOFF_X8Y18 |
INT_R INT_R_X9Y18 TIEOFF TIEOFF[0,0] TIEOFF_X9Y18 |
CLBLM_R CLBLM_R_X9Y18 SLICEM SLICE[0,0] SLICE_X14Y18 SLICEL SLICE[1,0] SLICE_X15Y18 |
VBRK VBRK_X27Y19 |
CLBLL_L CLBLL_L_X10Y18 SLICEL SLICE[0,0] SLICE_X16Y18 SLICEL SLICE[1,0] SLICE_X17Y18 |
INT_L INT_L_X10Y18 TIEOFF TIEOFF[0,0] TIEOFF_X10Y18 |
INT_R INT_R_X11Y18 TIEOFF TIEOFF[0,0] TIEOFF_X11Y18 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y18 |
CLK_FEED CLK_FEED_X32Y19 |
VBRK VBRK_X33Y19 |
CLBLM_L CLBLM_L_X12Y18 SLICEM SLICE[0,0] SLICE_X18Y18 SLICEL SLICE[1,0] SLICE_X19Y18 |
INT_L INT_L_X12Y18 TIEOFF TIEOFF[0,0] TIEOFF_X12Y18 |
INT_R INT_R_X13Y18 TIEOFF TIEOFF[0,0] TIEOFF_X13Y18 |
CLBLL_R CLBLL_R_X13Y18 SLICEL SLICE[0,0] SLICE_X20Y18 SLICEL SLICE[1,0] SLICE_X21Y18 |
VBRK VBRK_X38Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y19 |
VFRAME VFRAME_X51Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y18 |
INT_L INT_L_X14Y18 TIEOFF TIEOFF[0,0] TIEOFF_X14Y18 |
INT_R INT_R_X15Y18 TIEOFF TIEOFF[0,0] TIEOFF_X15Y18 |
CLBLM_R CLBLM_R_X15Y18 SLICEM SLICE[0,0] SLICE_X22Y18 SLICEL SLICE[1,0] SLICE_X23Y18 |
VBRK VBRK_X56Y19 |
CLBLM_L CLBLM_L_X16Y18 SLICEM SLICE[0,0] SLICE_X24Y18 SLICEL SLICE[1,0] SLICE_X25Y18 |
INT_L INT_L_X16Y18 TIEOFF TIEOFF[0,0] TIEOFF_X16Y18 |
INT_R INT_R_X17Y18 TIEOFF TIEOFF[0,0] TIEOFF_X17Y18 |
CLBLM_R CLBLM_R_X17Y18 SLICEM SLICE[0,0] SLICE_X26Y18 SLICEL SLICE[1,0] SLICE_X27Y18 |
VBRK VBRK_X61Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y18 |
INT_L INT_L_X18Y18 TIEOFF TIEOFF[0,0] TIEOFF_X19Y18 |
INT_R INT_R_X19Y18 TIEOFF TIEOFF[0,0] TIEOFF_X20Y18 |
CLBLM_R CLBLM_R_X19Y18 SLICEM SLICE[0,0] SLICE_X28Y18 SLICEL SLICE[1,0] SLICE_X29Y18 |
CLBLM_L CLBLM_L_X20Y18 SLICEM SLICE[0,0] SLICE_X30Y18 SLICEL SLICE[1,0] SLICE_X31Y18 |
INT_L INT_L_X20Y18 TIEOFF TIEOFF[0,0] TIEOFF_X21Y18 |
INT_R INT_R_X21Y18 TIEOFF TIEOFF[0,0] TIEOFF_X22Y18 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y18 |
VBRK VBRK_X72Y19 |
CLBLL_L CLBLL_L_X22Y18 SLICEL SLICE[0,0] SLICE_X32Y18 SLICEL SLICE[1,0] SLICE_X33Y18 |
INT_L INT_L_X22Y18 TIEOFF TIEOFF[0,0] TIEOFF_X23Y18 |
INT_R INT_R_X23Y18 TIEOFF TIEOFF[0,0] TIEOFF_X24Y18 |
CLBLM_R CLBLM_R_X23Y18 SLICEM SLICE[0,0] SLICE_X34Y18 SLICEL SLICE[1,0] SLICE_X35Y18 |
CLBLL_L CLBLL_L_X24Y18 SLICEL SLICE[0,0] SLICE_X36Y18 SLICEL SLICE[1,0] SLICE_X37Y18 |
INT_L INT_L_X24Y18 TIEOFF TIEOFF[0,0] TIEOFF_X25Y18 |
INT_R INT_R_X25Y18 TIEOFF TIEOFF[0,0] TIEOFF_X26Y18 |
CLBLM_R CLBLM_R_X25Y18 SLICEM SLICE[0,0] SLICE_X38Y18 SLICEL SLICE[1,0] SLICE_X39Y18 |
VBRK VBRK_X81Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y18 |
INT_L INT_L_X26Y18 TIEOFF TIEOFF[0,0] TIEOFF_X27Y18 |
INT_R INT_R_X27Y18 TIEOFF TIEOFF[0,0] TIEOFF_X28Y18 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y18 |
R_TERM_INT R_TERM_INT_X88Y19 |
RIOI3 RIOI3_X27Y17 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y17 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y18 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y17 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y18 IDELAYE2 IDELAY[0,0] IDELAY_X1Y17 IDELAYE2 IDELAY[0,1] IDELAY_X1Y18 |
RIOB33 RIOB33_X27Y17 IOB33S IOB[0,0] IOB_X1Y17 IOB33M IOB[0,1] IOB_X1Y18 |
||||||||
L_TERM_INT L_TERM_INT_X2Y18 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y17 |
INT_L INT_L_X0Y17 TIEOFF TIEOFF[0,0] TIEOFF_X0Y17 |
INT_R INT_R_X1Y17 TIEOFF TIEOFF[0,0] TIEOFF_X1Y17 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y17 |
VBRK VBRK_X9Y18 |
CLBLL_L CLBLL_L_X2Y17 SLICEL SLICE[0,0] SLICE_X0Y17 SLICEL SLICE[1,0] SLICE_X1Y17 |
INT_L INT_L_X2Y17 TIEOFF TIEOFF[0,0] TIEOFF_X2Y17 |
INT_R INT_R_X3Y17 TIEOFF TIEOFF[0,0] TIEOFF_X3Y17 |
CLBLM_R CLBLM_R_X3Y17 SLICEM SLICE[0,0] SLICE_X2Y17 SLICEL SLICE[1,0] SLICE_X3Y17 |
CLBLL_L CLBLL_L_X4Y17 SLICEL SLICE[0,0] SLICE_X4Y17 SLICEL SLICE[1,0] SLICE_X5Y17 |
INT_L INT_L_X4Y17 TIEOFF TIEOFF[0,0] TIEOFF_X4Y17 |
INT_R INT_R_X5Y17 TIEOFF TIEOFF[0,0] TIEOFF_X5Y17 |
CLBLM_R CLBLM_R_X5Y17 SLICEM SLICE[0,0] SLICE_X6Y17 SLICEL SLICE[1,0] SLICE_X7Y17 |
VBRK VBRK_X18Y18 |
CLBLL_L CLBLL_L_X6Y17 SLICEL SLICE[0,0] SLICE_X8Y17 SLICEL SLICE[1,0] SLICE_X9Y17 |
INT_L INT_L_X6Y17 TIEOFF TIEOFF[0,0] TIEOFF_X6Y17 |
INT_R INT_R_X7Y17 TIEOFF TIEOFF[0,0] TIEOFF_X7Y17 |
CLBLM_R CLBLM_R_X7Y17 SLICEM SLICE[0,0] SLICE_X10Y17 SLICEL SLICE[1,0] SLICE_X11Y17 |
CLBLL_L CLBLL_L_X8Y17 SLICEL SLICE[0,0] SLICE_X12Y17 SLICEL SLICE[1,0] SLICE_X13Y17 |
INT_L INT_L_X8Y17 TIEOFF TIEOFF[0,0] TIEOFF_X8Y17 |
INT_R INT_R_X9Y17 TIEOFF TIEOFF[0,0] TIEOFF_X9Y17 |
CLBLM_R CLBLM_R_X9Y17 SLICEM SLICE[0,0] SLICE_X14Y17 SLICEL SLICE[1,0] SLICE_X15Y17 |
VBRK VBRK_X27Y18 |
CLBLL_L CLBLL_L_X10Y17 SLICEL SLICE[0,0] SLICE_X16Y17 SLICEL SLICE[1,0] SLICE_X17Y17 |
INT_L INT_L_X10Y17 TIEOFF TIEOFF[0,0] TIEOFF_X10Y17 |
INT_R INT_R_X11Y17 TIEOFF TIEOFF[0,0] TIEOFF_X11Y17 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y17 |
CLK_PMVIOB CLK_PMVIOB_X32Y18 PMVIOB PMVIOB[0,0] PMVIOB_X0Y0 |
VBRK VBRK_X33Y18 |
CLBLM_L CLBLM_L_X12Y17 SLICEM SLICE[0,0] SLICE_X18Y17 SLICEL SLICE[1,0] SLICE_X19Y17 |
INT_L INT_L_X12Y17 TIEOFF TIEOFF[0,0] TIEOFF_X12Y17 |
INT_R INT_R_X13Y17 TIEOFF TIEOFF[0,0] TIEOFF_X13Y17 |
CLBLL_R CLBLL_R_X13Y17 SLICEL SLICE[0,0] SLICE_X20Y17 SLICEL SLICE[1,0] SLICE_X21Y17 |
VBRK VBRK_X38Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y18 |
VFRAME VFRAME_X51Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y17 |
INT_L INT_L_X14Y17 TIEOFF TIEOFF[0,0] TIEOFF_X14Y17 |
INT_R INT_R_X15Y17 TIEOFF TIEOFF[0,0] TIEOFF_X15Y17 |
CLBLM_R CLBLM_R_X15Y17 SLICEM SLICE[0,0] SLICE_X22Y17 SLICEL SLICE[1,0] SLICE_X23Y17 |
VBRK VBRK_X56Y18 |
CLBLM_L CLBLM_L_X16Y17 SLICEM SLICE[0,0] SLICE_X24Y17 SLICEL SLICE[1,0] SLICE_X25Y17 |
INT_L INT_L_X16Y17 TIEOFF TIEOFF[0,0] TIEOFF_X16Y17 |
INT_R INT_R_X17Y17 TIEOFF TIEOFF[0,0] TIEOFF_X17Y17 |
CLBLM_R CLBLM_R_X17Y17 SLICEM SLICE[0,0] SLICE_X26Y17 SLICEL SLICE[1,0] SLICE_X27Y17 |
VBRK VBRK_X61Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y17 |
INT_L INT_L_X18Y17 TIEOFF TIEOFF[0,0] TIEOFF_X19Y17 |
INT_R INT_R_X19Y17 TIEOFF TIEOFF[0,0] TIEOFF_X20Y17 |
CLBLM_R CLBLM_R_X19Y17 SLICEM SLICE[0,0] SLICE_X28Y17 SLICEL SLICE[1,0] SLICE_X29Y17 |
CLBLM_L CLBLM_L_X20Y17 SLICEM SLICE[0,0] SLICE_X30Y17 SLICEL SLICE[1,0] SLICE_X31Y17 |
INT_L INT_L_X20Y17 TIEOFF TIEOFF[0,0] TIEOFF_X21Y17 |
INT_R INT_R_X21Y17 TIEOFF TIEOFF[0,0] TIEOFF_X22Y17 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y17 |
VBRK VBRK_X72Y18 |
CLBLL_L CLBLL_L_X22Y17 SLICEL SLICE[0,0] SLICE_X32Y17 SLICEL SLICE[1,0] SLICE_X33Y17 |
INT_L INT_L_X22Y17 TIEOFF TIEOFF[0,0] TIEOFF_X23Y17 |
INT_R INT_R_X23Y17 TIEOFF TIEOFF[0,0] TIEOFF_X24Y17 |
CLBLM_R CLBLM_R_X23Y17 SLICEM SLICE[0,0] SLICE_X34Y17 SLICEL SLICE[1,0] SLICE_X35Y17 |
CLBLL_L CLBLL_L_X24Y17 SLICEL SLICE[0,0] SLICE_X36Y17 SLICEL SLICE[1,0] SLICE_X37Y17 |
INT_L INT_L_X24Y17 TIEOFF TIEOFF[0,0] TIEOFF_X25Y17 |
INT_R INT_R_X25Y17 TIEOFF TIEOFF[0,0] TIEOFF_X26Y17 |
CLBLM_R CLBLM_R_X25Y17 SLICEM SLICE[0,0] SLICE_X38Y17 SLICEL SLICE[1,0] SLICE_X39Y17 |
VBRK VBRK_X81Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y17 |
INT_L INT_L_X26Y17 TIEOFF TIEOFF[0,0] TIEOFF_X27Y17 |
INT_R INT_R_X27Y17 TIEOFF TIEOFF[0,0] TIEOFF_X28Y17 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y17 |
R_TERM_INT R_TERM_INT_X88Y18 |
||||||||||||
LIOB33 LIOB33_X0Y15 IOB33S IOB[0,0] IOB_X0Y15 IOB33M IOB[0,1] IOB_X0Y16 |
LIOI3 LIOI3_X0Y15 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y15 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y16 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y15 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y16 IDELAYE2 IDELAY[0,0] IDELAY_X0Y15 IDELAYE2 IDELAY[0,1] IDELAY_X0Y16 |
L_TERM_INT L_TERM_INT_X2Y17 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y16 |
INT_L INT_L_X0Y16 TIEOFF TIEOFF[0,0] TIEOFF_X0Y16 |
INT_R INT_R_X1Y16 TIEOFF TIEOFF[0,0] TIEOFF_X1Y16 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y16 |
VBRK VBRK_X9Y17 |
CLBLL_L CLBLL_L_X2Y16 SLICEL SLICE[0,0] SLICE_X0Y16 SLICEL SLICE[1,0] SLICE_X1Y16 |
INT_L INT_L_X2Y16 TIEOFF TIEOFF[0,0] TIEOFF_X2Y16 |
INT_R INT_R_X3Y16 TIEOFF TIEOFF[0,0] TIEOFF_X3Y16 |
CLBLM_R CLBLM_R_X3Y16 SLICEM SLICE[0,0] SLICE_X2Y16 SLICEL SLICE[1,0] SLICE_X3Y16 |
CLBLL_L CLBLL_L_X4Y16 SLICEL SLICE[0,0] SLICE_X4Y16 SLICEL SLICE[1,0] SLICE_X5Y16 |
INT_L INT_L_X4Y16 TIEOFF TIEOFF[0,0] TIEOFF_X4Y16 |
INT_R INT_R_X5Y16 TIEOFF TIEOFF[0,0] TIEOFF_X5Y16 |
CLBLM_R CLBLM_R_X5Y16 SLICEM SLICE[0,0] SLICE_X6Y16 SLICEL SLICE[1,0] SLICE_X7Y16 |
VBRK VBRK_X18Y17 |
CLBLL_L CLBLL_L_X6Y16 SLICEL SLICE[0,0] SLICE_X8Y16 SLICEL SLICE[1,0] SLICE_X9Y16 |
INT_L INT_L_X6Y16 TIEOFF TIEOFF[0,0] TIEOFF_X6Y16 |
INT_R INT_R_X7Y16 TIEOFF TIEOFF[0,0] TIEOFF_X7Y16 |
CLBLM_R CLBLM_R_X7Y16 SLICEM SLICE[0,0] SLICE_X10Y16 SLICEL SLICE[1,0] SLICE_X11Y16 |
CLBLL_L CLBLL_L_X8Y16 SLICEL SLICE[0,0] SLICE_X12Y16 SLICEL SLICE[1,0] SLICE_X13Y16 |
INT_L INT_L_X8Y16 TIEOFF TIEOFF[0,0] TIEOFF_X8Y16 |
INT_R INT_R_X9Y16 TIEOFF TIEOFF[0,0] TIEOFF_X9Y16 |
CLBLM_R CLBLM_R_X9Y16 SLICEM SLICE[0,0] SLICE_X14Y16 SLICEL SLICE[1,0] SLICE_X15Y16 |
VBRK VBRK_X27Y17 |
CLBLL_L CLBLL_L_X10Y16 SLICEL SLICE[0,0] SLICE_X16Y16 SLICEL SLICE[1,0] SLICE_X17Y16 |
INT_L INT_L_X10Y16 TIEOFF TIEOFF[0,0] TIEOFF_X10Y16 |
INT_R INT_R_X11Y16 TIEOFF TIEOFF[0,0] TIEOFF_X11Y16 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y16 |
CLK_FEED CLK_FEED_X32Y17 |
VBRK VBRK_X33Y17 |
CLBLM_L CLBLM_L_X12Y16 SLICEM SLICE[0,0] SLICE_X18Y16 SLICEL SLICE[1,0] SLICE_X19Y16 |
INT_L INT_L_X12Y16 TIEOFF TIEOFF[0,0] TIEOFF_X12Y16 |
INT_R INT_R_X13Y16 TIEOFF TIEOFF[0,0] TIEOFF_X13Y16 |
CLBLL_R CLBLL_R_X13Y16 SLICEL SLICE[0,0] SLICE_X20Y16 SLICEL SLICE[1,0] SLICE_X21Y16 |
VBRK VBRK_X38Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y17 |
VFRAME VFRAME_X51Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y16 |
INT_L INT_L_X14Y16 TIEOFF TIEOFF[0,0] TIEOFF_X14Y16 |
INT_R INT_R_X15Y16 TIEOFF TIEOFF[0,0] TIEOFF_X15Y16 |
CLBLM_R CLBLM_R_X15Y16 SLICEM SLICE[0,0] SLICE_X22Y16 SLICEL SLICE[1,0] SLICE_X23Y16 |
VBRK VBRK_X56Y17 |
CLBLM_L CLBLM_L_X16Y16 SLICEM SLICE[0,0] SLICE_X24Y16 SLICEL SLICE[1,0] SLICE_X25Y16 |
INT_L INT_L_X16Y16 TIEOFF TIEOFF[0,0] TIEOFF_X16Y16 |
INT_R INT_R_X17Y16 TIEOFF TIEOFF[0,0] TIEOFF_X17Y16 |
CLBLM_R CLBLM_R_X17Y16 SLICEM SLICE[0,0] SLICE_X26Y16 SLICEL SLICE[1,0] SLICE_X27Y16 |
VBRK VBRK_X61Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y16 |
INT_L INT_L_X18Y16 TIEOFF TIEOFF[0,0] TIEOFF_X19Y16 |
INT_R INT_R_X19Y16 TIEOFF TIEOFF[0,0] TIEOFF_X20Y16 |
CLBLM_R CLBLM_R_X19Y16 SLICEM SLICE[0,0] SLICE_X28Y16 SLICEL SLICE[1,0] SLICE_X29Y16 |
CLBLM_L CLBLM_L_X20Y16 SLICEM SLICE[0,0] SLICE_X30Y16 SLICEL SLICE[1,0] SLICE_X31Y16 |
INT_L INT_L_X20Y16 TIEOFF TIEOFF[0,0] TIEOFF_X21Y16 |
INT_R INT_R_X21Y16 TIEOFF TIEOFF[0,0] TIEOFF_X22Y16 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y16 |
VBRK VBRK_X72Y17 |
CLBLL_L CLBLL_L_X22Y16 SLICEL SLICE[0,0] SLICE_X32Y16 SLICEL SLICE[1,0] SLICE_X33Y16 |
INT_L INT_L_X22Y16 TIEOFF TIEOFF[0,0] TIEOFF_X23Y16 |
INT_R INT_R_X23Y16 TIEOFF TIEOFF[0,0] TIEOFF_X24Y16 |
CLBLM_R CLBLM_R_X23Y16 SLICEM SLICE[0,0] SLICE_X34Y16 SLICEL SLICE[1,0] SLICE_X35Y16 |
CLBLL_L CLBLL_L_X24Y16 SLICEL SLICE[0,0] SLICE_X36Y16 SLICEL SLICE[1,0] SLICE_X37Y16 |
INT_L INT_L_X24Y16 TIEOFF TIEOFF[0,0] TIEOFF_X25Y16 |
INT_R INT_R_X25Y16 TIEOFF TIEOFF[0,0] TIEOFF_X26Y16 |
CLBLM_R CLBLM_R_X25Y16 SLICEM SLICE[0,0] SLICE_X38Y16 SLICEL SLICE[1,0] SLICE_X39Y16 |
VBRK VBRK_X81Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y16 |
INT_L INT_L_X26Y16 TIEOFF TIEOFF[0,0] TIEOFF_X27Y16 |
INT_R INT_R_X27Y16 TIEOFF TIEOFF[0,0] TIEOFF_X28Y16 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y16 |
R_TERM_INT R_TERM_INT_X88Y17 |
RIOI3 RIOI3_X27Y15 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y15 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y16 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y15 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y16 IDELAYE2 IDELAY[0,0] IDELAY_X1Y15 IDELAYE2 IDELAY[0,1] IDELAY_X1Y16 |
RIOB33 RIOB33_X27Y15 IOB33S IOB[0,0] IOB_X1Y15 IOB33M IOB[0,1] IOB_X1Y16 |
||||||||
L_TERM_INT L_TERM_INT_X2Y16 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y15 |
INT_L INT_L_X0Y15 TIEOFF TIEOFF[0,0] TIEOFF_X0Y15 |
INT_R INT_R_X1Y15 TIEOFF TIEOFF[0,0] TIEOFF_X1Y15 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y15 |
CMT_TOP_R_LOWER_B CMT_TOP_R_LOWER_B_X8Y9 MMCME2_ADV MMCME2_ADV[0,0] MMCME2_ADV_X0Y0 |
VBRK VBRK_X9Y16 |
CLBLL_L CLBLL_L_X2Y15 SLICEL SLICE[0,0] SLICE_X0Y15 SLICEL SLICE[1,0] SLICE_X1Y15 |
INT_L INT_L_X2Y15 TIEOFF TIEOFF[0,0] TIEOFF_X2Y15 |
INT_R INT_R_X3Y15 TIEOFF TIEOFF[0,0] TIEOFF_X3Y15 |
CLBLM_R CLBLM_R_X3Y15 SLICEM SLICE[0,0] SLICE_X2Y15 SLICEL SLICE[1,0] SLICE_X3Y15 |
CLBLL_L CLBLL_L_X4Y15 SLICEL SLICE[0,0] SLICE_X4Y15 SLICEL SLICE[1,0] SLICE_X5Y15 |
INT_L INT_L_X4Y15 TIEOFF TIEOFF[0,0] TIEOFF_X4Y15 |
INT_R INT_R_X5Y15 TIEOFF TIEOFF[0,0] TIEOFF_X5Y15 |
CLBLM_R CLBLM_R_X5Y15 SLICEM SLICE[0,0] SLICE_X6Y15 SLICEL SLICE[1,0] SLICE_X7Y15 |
VBRK VBRK_X18Y16 |
CLBLL_L CLBLL_L_X6Y15 SLICEL SLICE[0,0] SLICE_X8Y15 SLICEL SLICE[1,0] SLICE_X9Y15 |
INT_L INT_L_X6Y15 TIEOFF TIEOFF[0,0] TIEOFF_X6Y15 |
INT_R INT_R_X7Y15 TIEOFF TIEOFF[0,0] TIEOFF_X7Y15 |
CLBLM_R CLBLM_R_X7Y15 SLICEM SLICE[0,0] SLICE_X10Y15 SLICEL SLICE[1,0] SLICE_X11Y15 |
CLBLL_L CLBLL_L_X8Y15 SLICEL SLICE[0,0] SLICE_X12Y15 SLICEL SLICE[1,0] SLICE_X13Y15 |
INT_L INT_L_X8Y15 TIEOFF TIEOFF[0,0] TIEOFF_X8Y15 |
INT_R INT_R_X9Y15 TIEOFF TIEOFF[0,0] TIEOFF_X9Y15 |
CLBLM_R CLBLM_R_X9Y15 SLICEM SLICE[0,0] SLICE_X14Y15 SLICEL SLICE[1,0] SLICE_X15Y15 |
VBRK VBRK_X27Y16 |
CLBLL_L CLBLL_L_X10Y15 SLICEL SLICE[0,0] SLICE_X16Y15 SLICEL SLICE[1,0] SLICE_X17Y15 |
INT_L INT_L_X10Y15 TIEOFF TIEOFF[0,0] TIEOFF_X10Y15 |
INT_R INT_R_X11Y15 TIEOFF TIEOFF[0,0] TIEOFF_X11Y15 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y15 |
CLK_FEED CLK_FEED_X32Y16 |
VBRK VBRK_X33Y16 |
CLBLM_L CLBLM_L_X12Y15 SLICEM SLICE[0,0] SLICE_X18Y15 SLICEL SLICE[1,0] SLICE_X19Y15 |
INT_L INT_L_X12Y15 TIEOFF TIEOFF[0,0] TIEOFF_X12Y15 |
INT_R INT_R_X13Y15 TIEOFF TIEOFF[0,0] TIEOFF_X13Y15 |
CLBLL_R CLBLL_R_X13Y15 SLICEL SLICE[0,0] SLICE_X20Y15 SLICEL SLICE[1,0] SLICE_X21Y15 |
VBRK VBRK_X38Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y16 |
VFRAME VFRAME_X51Y16 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y15 |
INT_L INT_L_X14Y15 TIEOFF TIEOFF[0,0] TIEOFF_X14Y15 |
INT_R INT_R_X15Y15 TIEOFF TIEOFF[0,0] TIEOFF_X15Y15 |
CLBLM_R CLBLM_R_X15Y15 SLICEM SLICE[0,0] SLICE_X22Y15 SLICEL SLICE[1,0] SLICE_X23Y15 |
VBRK VBRK_X56Y16 |
CLBLM_L CLBLM_L_X16Y15 SLICEM SLICE[0,0] SLICE_X24Y15 SLICEL SLICE[1,0] SLICE_X25Y15 |
INT_L INT_L_X16Y15 TIEOFF TIEOFF[0,0] TIEOFF_X16Y15 |
INT_R INT_R_X17Y15 TIEOFF TIEOFF[0,0] TIEOFF_X17Y15 |
CLBLM_R CLBLM_R_X17Y15 SLICEM SLICE[0,0] SLICE_X26Y15 SLICEL SLICE[1,0] SLICE_X27Y15 |
VBRK VBRK_X61Y16 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y15 |
INT_L INT_L_X18Y15 TIEOFF TIEOFF[0,0] TIEOFF_X19Y15 |
INT_R INT_R_X19Y15 TIEOFF TIEOFF[0,0] TIEOFF_X20Y15 |
CLBLM_R CLBLM_R_X19Y15 SLICEM SLICE[0,0] SLICE_X28Y15 SLICEL SLICE[1,0] SLICE_X29Y15 |
CLBLM_L CLBLM_L_X20Y15 SLICEM SLICE[0,0] SLICE_X30Y15 SLICEL SLICE[1,0] SLICE_X31Y15 |
INT_L INT_L_X20Y15 TIEOFF TIEOFF[0,0] TIEOFF_X21Y15 |
INT_R INT_R_X21Y15 TIEOFF TIEOFF[0,0] TIEOFF_X22Y15 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y15 |
VBRK VBRK_X72Y16 |
CLBLL_L CLBLL_L_X22Y15 SLICEL SLICE[0,0] SLICE_X32Y15 SLICEL SLICE[1,0] SLICE_X33Y15 |
INT_L INT_L_X22Y15 TIEOFF TIEOFF[0,0] TIEOFF_X23Y15 |
INT_R INT_R_X23Y15 TIEOFF TIEOFF[0,0] TIEOFF_X24Y15 |
CLBLM_R CLBLM_R_X23Y15 SLICEM SLICE[0,0] SLICE_X34Y15 SLICEL SLICE[1,0] SLICE_X35Y15 |
CLBLL_L CLBLL_L_X24Y15 SLICEL SLICE[0,0] SLICE_X36Y15 SLICEL SLICE[1,0] SLICE_X37Y15 |
INT_L INT_L_X24Y15 TIEOFF TIEOFF[0,0] TIEOFF_X25Y15 |
INT_R INT_R_X25Y15 TIEOFF TIEOFF[0,0] TIEOFF_X26Y15 |
CLBLM_R CLBLM_R_X25Y15 SLICEM SLICE[0,0] SLICE_X38Y15 SLICEL SLICE[1,0] SLICE_X39Y15 |
VBRK VBRK_X81Y16 |
CMT_TOP_L_LOWER_B CMT_TOP_L_LOWER_B_X82Y9 MMCME2_ADV MMCME2_ADV[0,0] MMCME2_ADV_X1Y0 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y15 |
INT_L INT_L_X26Y15 TIEOFF TIEOFF[0,0] TIEOFF_X27Y15 |
INT_R INT_R_X27Y15 TIEOFF TIEOFF[0,0] TIEOFF_X28Y15 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y15 |
R_TERM_INT R_TERM_INT_X88Y16 |
||||||||||
LIOB33 LIOB33_X0Y13 IOB33S IOB[0,0] IOB_X0Y13 IOB33M IOB[0,1] IOB_X0Y14 |
LIOI3_TBYTETERM LIOI3_TBYTETERM_X0Y13 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y13 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y14 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y13 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y14 IDELAYE2 IDELAY[0,0] IDELAY_X0Y13 IDELAYE2 IDELAY[0,1] IDELAY_X0Y14 |
L_TERM_INT L_TERM_INT_X2Y15 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y14 |
INT_L INT_L_X0Y14 TIEOFF TIEOFF[0,0] TIEOFF_X0Y14 |
INT_R INT_R_X1Y14 TIEOFF TIEOFF[0,0] TIEOFF_X1Y14 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y14 |
VBRK VBRK_X9Y15 |
CLBLL_L CLBLL_L_X2Y14 SLICEL SLICE[0,0] SLICE_X0Y14 SLICEL SLICE[1,0] SLICE_X1Y14 |
INT_L INT_L_X2Y14 TIEOFF TIEOFF[0,0] TIEOFF_X2Y14 |
INT_R INT_R_X3Y14 TIEOFF TIEOFF[0,0] TIEOFF_X3Y14 |
CLBLM_R CLBLM_R_X3Y14 SLICEM SLICE[0,0] SLICE_X2Y14 SLICEL SLICE[1,0] SLICE_X3Y14 |
CLBLL_L CLBLL_L_X4Y14 SLICEL SLICE[0,0] SLICE_X4Y14 SLICEL SLICE[1,0] SLICE_X5Y14 |
INT_L INT_L_X4Y14 TIEOFF TIEOFF[0,0] TIEOFF_X4Y14 |
INT_R INT_R_X5Y14 TIEOFF TIEOFF[0,0] TIEOFF_X5Y14 |
CLBLM_R CLBLM_R_X5Y14 SLICEM SLICE[0,0] SLICE_X6Y14 SLICEL SLICE[1,0] SLICE_X7Y14 |
VBRK VBRK_X18Y15 |
CLBLL_L CLBLL_L_X6Y14 SLICEL SLICE[0,0] SLICE_X8Y14 SLICEL SLICE[1,0] SLICE_X9Y14 |
INT_L INT_L_X6Y14 TIEOFF TIEOFF[0,0] TIEOFF_X6Y14 |
INT_R INT_R_X7Y14 TIEOFF TIEOFF[0,0] TIEOFF_X7Y14 |
CLBLM_R CLBLM_R_X7Y14 SLICEM SLICE[0,0] SLICE_X10Y14 SLICEL SLICE[1,0] SLICE_X11Y14 |
CLBLL_L CLBLL_L_X8Y14 SLICEL SLICE[0,0] SLICE_X12Y14 SLICEL SLICE[1,0] SLICE_X13Y14 |
INT_L INT_L_X8Y14 TIEOFF TIEOFF[0,0] TIEOFF_X8Y14 |
INT_R INT_R_X9Y14 TIEOFF TIEOFF[0,0] TIEOFF_X9Y14 |
CLBLM_R CLBLM_R_X9Y14 SLICEM SLICE[0,0] SLICE_X14Y14 SLICEL SLICE[1,0] SLICE_X15Y14 |
VBRK VBRK_X27Y15 |
CLBLL_L CLBLL_L_X10Y14 SLICEL SLICE[0,0] SLICE_X16Y14 SLICEL SLICE[1,0] SLICE_X17Y14 |
INT_L INT_L_X10Y14 TIEOFF TIEOFF[0,0] TIEOFF_X10Y14 |
INT_R INT_R_X11Y14 TIEOFF TIEOFF[0,0] TIEOFF_X11Y14 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y14 |
CLK_FEED CLK_FEED_X32Y15 |
VBRK VBRK_X33Y15 |
CLBLM_L CLBLM_L_X12Y14 SLICEM SLICE[0,0] SLICE_X18Y14 SLICEL SLICE[1,0] SLICE_X19Y14 |
INT_L INT_L_X12Y14 TIEOFF TIEOFF[0,0] TIEOFF_X12Y14 |
INT_R INT_R_X13Y14 TIEOFF TIEOFF[0,0] TIEOFF_X13Y14 |
CLBLL_R CLBLL_R_X13Y14 SLICEL SLICE[0,0] SLICE_X20Y14 SLICEL SLICE[1,0] SLICE_X21Y14 |
VBRK VBRK_X38Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y15 |
VFRAME VFRAME_X51Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y14 |
INT_L INT_L_X14Y14 TIEOFF TIEOFF[0,0] TIEOFF_X14Y14 |
INT_R INT_R_X15Y14 TIEOFF TIEOFF[0,0] TIEOFF_X15Y14 |
CLBLM_R CLBLM_R_X15Y14 SLICEM SLICE[0,0] SLICE_X22Y14 SLICEL SLICE[1,0] SLICE_X23Y14 |
VBRK VBRK_X56Y15 |
CLBLM_L CLBLM_L_X16Y14 SLICEM SLICE[0,0] SLICE_X24Y14 SLICEL SLICE[1,0] SLICE_X25Y14 |
INT_L INT_L_X16Y14 TIEOFF TIEOFF[0,0] TIEOFF_X16Y14 |
INT_R INT_R_X17Y14 TIEOFF TIEOFF[0,0] TIEOFF_X17Y14 |
CLBLM_R CLBLM_R_X17Y14 SLICEM SLICE[0,0] SLICE_X26Y14 SLICEL SLICE[1,0] SLICE_X27Y14 |
VBRK VBRK_X61Y15 |
DSP_L DSP_L_X18Y10 TIEOFF TIEOFF[0,0] TIEOFF_X18Y10 DSP48E1 DSP48[0,0] DSP48_X0Y4 DSP48E1 DSP48[0,1] DSP48_X0Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y14 |
INT_L INT_L_X18Y14 TIEOFF TIEOFF[0,0] TIEOFF_X19Y14 |
INT_R INT_R_X19Y14 TIEOFF TIEOFF[0,0] TIEOFF_X20Y14 |
CLBLM_R CLBLM_R_X19Y14 SLICEM SLICE[0,0] SLICE_X28Y14 SLICEL SLICE[1,0] SLICE_X29Y14 |
CLBLM_L CLBLM_L_X20Y14 SLICEM SLICE[0,0] SLICE_X30Y14 SLICEL SLICE[1,0] SLICE_X31Y14 |
INT_L INT_L_X20Y14 TIEOFF TIEOFF[0,0] TIEOFF_X21Y14 |
INT_R INT_R_X21Y14 TIEOFF TIEOFF[0,0] TIEOFF_X22Y14 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y14 |
BRAM_R BRAM_R_X21Y10 FIFO18E1 RAMB18[0,0] RAMB18_X0Y4 RAMB18E1 RAMB18[0,1] RAMB18_X0Y5 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y2 |
VBRK VBRK_X72Y15 |
CLBLL_L CLBLL_L_X22Y14 SLICEL SLICE[0,0] SLICE_X32Y14 SLICEL SLICE[1,0] SLICE_X33Y14 |
INT_L INT_L_X22Y14 TIEOFF TIEOFF[0,0] TIEOFF_X23Y14 |
INT_R INT_R_X23Y14 TIEOFF TIEOFF[0,0] TIEOFF_X24Y14 |
CLBLM_R CLBLM_R_X23Y14 SLICEM SLICE[0,0] SLICE_X34Y14 SLICEL SLICE[1,0] SLICE_X35Y14 |
CLBLL_L CLBLL_L_X24Y14 SLICEL SLICE[0,0] SLICE_X36Y14 SLICEL SLICE[1,0] SLICE_X37Y14 |
INT_L INT_L_X24Y14 TIEOFF TIEOFF[0,0] TIEOFF_X25Y14 |
INT_R INT_R_X25Y14 TIEOFF TIEOFF[0,0] TIEOFF_X26Y14 |
CLBLM_R CLBLM_R_X25Y14 SLICEM SLICE[0,0] SLICE_X38Y14 SLICEL SLICE[1,0] SLICE_X39Y14 |
VBRK VBRK_X81Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y14 |
INT_L INT_L_X26Y14 TIEOFF TIEOFF[0,0] TIEOFF_X27Y14 |
INT_R INT_R_X27Y14 TIEOFF TIEOFF[0,0] TIEOFF_X28Y14 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y14 |
R_TERM_INT R_TERM_INT_X88Y15 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X27Y13 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y13 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y14 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y13 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y14 IDELAYE2 IDELAY[0,0] IDELAY_X1Y13 IDELAYE2 IDELAY[0,1] IDELAY_X1Y14 |
RIOB33 RIOB33_X27Y13 IOB33S IOB[0,0] IOB_X1Y13 IOB33M IOB[0,1] IOB_X1Y14 |
||||||
L_TERM_INT L_TERM_INT_X2Y14 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y13 |
INT_L INT_L_X0Y13 TIEOFF TIEOFF[0,0] TIEOFF_X0Y13 |
INT_R INT_R_X1Y13 TIEOFF TIEOFF[0,0] TIEOFF_X1Y13 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y13 |
VBRK VBRK_X9Y14 |
CLBLL_L CLBLL_L_X2Y13 SLICEL SLICE[0,0] SLICE_X0Y13 SLICEL SLICE[1,0] SLICE_X1Y13 |
INT_L INT_L_X2Y13 TIEOFF TIEOFF[0,0] TIEOFF_X2Y13 |
INT_R INT_R_X3Y13 TIEOFF TIEOFF[0,0] TIEOFF_X3Y13 |
CLBLM_R CLBLM_R_X3Y13 SLICEM SLICE[0,0] SLICE_X2Y13 SLICEL SLICE[1,0] SLICE_X3Y13 |
CLBLL_L CLBLL_L_X4Y13 SLICEL SLICE[0,0] SLICE_X4Y13 SLICEL SLICE[1,0] SLICE_X5Y13 |
INT_L INT_L_X4Y13 TIEOFF TIEOFF[0,0] TIEOFF_X4Y13 |
INT_R INT_R_X5Y13 TIEOFF TIEOFF[0,0] TIEOFF_X5Y13 |
CLBLM_R CLBLM_R_X5Y13 SLICEM SLICE[0,0] SLICE_X6Y13 SLICEL SLICE[1,0] SLICE_X7Y13 |
VBRK VBRK_X18Y14 |
CLBLL_L CLBLL_L_X6Y13 SLICEL SLICE[0,0] SLICE_X8Y13 SLICEL SLICE[1,0] SLICE_X9Y13 |
INT_L INT_L_X6Y13 TIEOFF TIEOFF[0,0] TIEOFF_X6Y13 |
INT_R INT_R_X7Y13 TIEOFF TIEOFF[0,0] TIEOFF_X7Y13 |
CLBLM_R CLBLM_R_X7Y13 SLICEM SLICE[0,0] SLICE_X10Y13 SLICEL SLICE[1,0] SLICE_X11Y13 |
CLBLL_L CLBLL_L_X8Y13 SLICEL SLICE[0,0] SLICE_X12Y13 SLICEL SLICE[1,0] SLICE_X13Y13 |
INT_L INT_L_X8Y13 TIEOFF TIEOFF[0,0] TIEOFF_X8Y13 |
INT_R INT_R_X9Y13 TIEOFF TIEOFF[0,0] TIEOFF_X9Y13 |
CLBLM_R CLBLM_R_X9Y13 SLICEM SLICE[0,0] SLICE_X14Y13 SLICEL SLICE[1,0] SLICE_X15Y13 |
VBRK VBRK_X27Y14 |
CLBLL_L CLBLL_L_X10Y13 SLICEL SLICE[0,0] SLICE_X16Y13 SLICEL SLICE[1,0] SLICE_X17Y13 |
INT_L INT_L_X10Y13 TIEOFF TIEOFF[0,0] TIEOFF_X10Y13 |
INT_R INT_R_X11Y13 TIEOFF TIEOFF[0,0] TIEOFF_X11Y13 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y13 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X32Y13 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y0 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y1 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y2 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y3 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y4 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y5 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y6 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y7 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y8 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y9 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y10 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y11 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y12 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y13 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y14 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y15 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y0 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y1 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y2 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y3 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y4 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y5 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y6 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y7 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y8 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y9 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y10 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y11 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y12 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y13 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y14 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y15 |
VBRK VBRK_X33Y14 |
CLBLM_L CLBLM_L_X12Y13 SLICEM SLICE[0,0] SLICE_X18Y13 SLICEL SLICE[1,0] SLICE_X19Y13 |
INT_L INT_L_X12Y13 TIEOFF TIEOFF[0,0] TIEOFF_X12Y13 |
INT_R INT_R_X13Y13 TIEOFF TIEOFF[0,0] TIEOFF_X13Y13 |
CLBLL_R CLBLL_R_X13Y13 SLICEL SLICE[0,0] SLICE_X20Y13 SLICEL SLICE[1,0] SLICE_X21Y13 |
VBRK VBRK_X38Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y14 |
VFRAME VFRAME_X51Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y13 |
INT_L INT_L_X14Y13 TIEOFF TIEOFF[0,0] TIEOFF_X14Y13 |
INT_R INT_R_X15Y13 TIEOFF TIEOFF[0,0] TIEOFF_X15Y13 |
CLBLM_R CLBLM_R_X15Y13 SLICEM SLICE[0,0] SLICE_X22Y13 SLICEL SLICE[1,0] SLICE_X23Y13 |
VBRK VBRK_X56Y14 |
CLBLM_L CLBLM_L_X16Y13 SLICEM SLICE[0,0] SLICE_X24Y13 SLICEL SLICE[1,0] SLICE_X25Y13 |
INT_L INT_L_X16Y13 TIEOFF TIEOFF[0,0] TIEOFF_X16Y13 |
INT_R INT_R_X17Y13 TIEOFF TIEOFF[0,0] TIEOFF_X17Y13 |
CLBLM_R CLBLM_R_X17Y13 SLICEM SLICE[0,0] SLICE_X26Y13 SLICEL SLICE[1,0] SLICE_X27Y13 |
VBRK VBRK_X61Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y13 |
INT_L INT_L_X18Y13 TIEOFF TIEOFF[0,0] TIEOFF_X19Y13 |
INT_R INT_R_X19Y13 TIEOFF TIEOFF[0,0] TIEOFF_X20Y13 |
CLBLM_R CLBLM_R_X19Y13 SLICEM SLICE[0,0] SLICE_X28Y13 SLICEL SLICE[1,0] SLICE_X29Y13 |
CLBLM_L CLBLM_L_X20Y13 SLICEM SLICE[0,0] SLICE_X30Y13 SLICEL SLICE[1,0] SLICE_X31Y13 |
INT_L INT_L_X20Y13 TIEOFF TIEOFF[0,0] TIEOFF_X21Y13 |
INT_R INT_R_X21Y13 TIEOFF TIEOFF[0,0] TIEOFF_X22Y13 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y13 |
VBRK VBRK_X72Y14 |
CLBLL_L CLBLL_L_X22Y13 SLICEL SLICE[0,0] SLICE_X32Y13 SLICEL SLICE[1,0] SLICE_X33Y13 |
INT_L INT_L_X22Y13 TIEOFF TIEOFF[0,0] TIEOFF_X23Y13 |
INT_R INT_R_X23Y13 TIEOFF TIEOFF[0,0] TIEOFF_X24Y13 |
CLBLM_R CLBLM_R_X23Y13 SLICEM SLICE[0,0] SLICE_X34Y13 SLICEL SLICE[1,0] SLICE_X35Y13 |
CLBLL_L CLBLL_L_X24Y13 SLICEL SLICE[0,0] SLICE_X36Y13 SLICEL SLICE[1,0] SLICE_X37Y13 |
INT_L INT_L_X24Y13 TIEOFF TIEOFF[0,0] TIEOFF_X25Y13 |
INT_R INT_R_X25Y13 TIEOFF TIEOFF[0,0] TIEOFF_X26Y13 |
CLBLM_R CLBLM_R_X25Y13 SLICEM SLICE[0,0] SLICE_X38Y13 SLICEL SLICE[1,0] SLICE_X39Y13 |
VBRK VBRK_X81Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y13 |
INT_L INT_L_X26Y13 TIEOFF TIEOFF[0,0] TIEOFF_X27Y13 |
INT_R INT_R_X27Y13 TIEOFF TIEOFF[0,0] TIEOFF_X28Y13 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y13 |
R_TERM_INT R_TERM_INT_X88Y14 |
||||||||||||
LIOB33 LIOB33_X0Y11 IOB33S IOB[0,0] IOB_X0Y11 IOB33M IOB[0,1] IOB_X0Y12 |
LIOI3 LIOI3_X0Y11 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y11 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y12 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y11 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y12 IDELAYE2 IDELAY[0,0] IDELAY_X0Y11 IDELAYE2 IDELAY[0,1] IDELAY_X0Y12 |
L_TERM_INT L_TERM_INT_X2Y13 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y12 |
INT_L INT_L_X0Y12 TIEOFF TIEOFF[0,0] TIEOFF_X0Y12 |
INT_R INT_R_X1Y12 TIEOFF TIEOFF[0,0] TIEOFF_X1Y12 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y12 |
CMT_FIFO_R CMT_FIFO_R_X7Y8 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y0 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y0 |
VBRK VBRK_X9Y13 |
CLBLL_L CLBLL_L_X2Y12 SLICEL SLICE[0,0] SLICE_X0Y12 SLICEL SLICE[1,0] SLICE_X1Y12 |
INT_L INT_L_X2Y12 TIEOFF TIEOFF[0,0] TIEOFF_X2Y12 |
INT_R INT_R_X3Y12 TIEOFF TIEOFF[0,0] TIEOFF_X3Y12 |
CLBLM_R CLBLM_R_X3Y12 SLICEM SLICE[0,0] SLICE_X2Y12 SLICEL SLICE[1,0] SLICE_X3Y12 |
CLBLL_L CLBLL_L_X4Y12 SLICEL SLICE[0,0] SLICE_X4Y12 SLICEL SLICE[1,0] SLICE_X5Y12 |
INT_L INT_L_X4Y12 TIEOFF TIEOFF[0,0] TIEOFF_X4Y12 |
INT_R INT_R_X5Y12 TIEOFF TIEOFF[0,0] TIEOFF_X5Y12 |
CLBLM_R CLBLM_R_X5Y12 SLICEM SLICE[0,0] SLICE_X6Y12 SLICEL SLICE[1,0] SLICE_X7Y12 |
VBRK VBRK_X18Y13 |
CLBLL_L CLBLL_L_X6Y12 SLICEL SLICE[0,0] SLICE_X8Y12 SLICEL SLICE[1,0] SLICE_X9Y12 |
INT_L INT_L_X6Y12 TIEOFF TIEOFF[0,0] TIEOFF_X6Y12 |
INT_R INT_R_X7Y12 TIEOFF TIEOFF[0,0] TIEOFF_X7Y12 |
CLBLM_R CLBLM_R_X7Y12 SLICEM SLICE[0,0] SLICE_X10Y12 SLICEL SLICE[1,0] SLICE_X11Y12 |
CLBLL_L CLBLL_L_X8Y12 SLICEL SLICE[0,0] SLICE_X12Y12 SLICEL SLICE[1,0] SLICE_X13Y12 |
INT_L INT_L_X8Y12 TIEOFF TIEOFF[0,0] TIEOFF_X8Y12 |
INT_R INT_R_X9Y12 TIEOFF TIEOFF[0,0] TIEOFF_X9Y12 |
CLBLM_R CLBLM_R_X9Y12 SLICEM SLICE[0,0] SLICE_X14Y12 SLICEL SLICE[1,0] SLICE_X15Y12 |
VBRK VBRK_X27Y13 |
CLBLL_L CLBLL_L_X10Y12 SLICEL SLICE[0,0] SLICE_X16Y12 SLICEL SLICE[1,0] SLICE_X17Y12 |
INT_L INT_L_X10Y12 TIEOFF TIEOFF[0,0] TIEOFF_X10Y12 |
INT_R INT_R_X11Y12 TIEOFF TIEOFF[0,0] TIEOFF_X11Y12 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y12 |
VBRK VBRK_X33Y13 |
CLBLM_L CLBLM_L_X12Y12 SLICEM SLICE[0,0] SLICE_X18Y12 SLICEL SLICE[1,0] SLICE_X19Y12 |
INT_L INT_L_X12Y12 TIEOFF TIEOFF[0,0] TIEOFF_X12Y12 |
INT_R INT_R_X13Y12 TIEOFF TIEOFF[0,0] TIEOFF_X13Y12 |
CLBLL_R CLBLL_R_X13Y12 SLICEL SLICE[0,0] SLICE_X20Y12 SLICEL SLICE[1,0] SLICE_X21Y12 |
VBRK VBRK_X38Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y13 |
VFRAME VFRAME_X51Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y12 |
INT_L INT_L_X14Y12 TIEOFF TIEOFF[0,0] TIEOFF_X14Y12 |
INT_R INT_R_X15Y12 TIEOFF TIEOFF[0,0] TIEOFF_X15Y12 |
CLBLM_R CLBLM_R_X15Y12 SLICEM SLICE[0,0] SLICE_X22Y12 SLICEL SLICE[1,0] SLICE_X23Y12 |
VBRK VBRK_X56Y13 |
CLBLM_L CLBLM_L_X16Y12 SLICEM SLICE[0,0] SLICE_X24Y12 SLICEL SLICE[1,0] SLICE_X25Y12 |
INT_L INT_L_X16Y12 TIEOFF TIEOFF[0,0] TIEOFF_X16Y12 |
INT_R INT_R_X17Y12 TIEOFF TIEOFF[0,0] TIEOFF_X17Y12 |
CLBLM_R CLBLM_R_X17Y12 SLICEM SLICE[0,0] SLICE_X26Y12 SLICEL SLICE[1,0] SLICE_X27Y12 |
VBRK VBRK_X61Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y12 |
INT_L INT_L_X18Y12 TIEOFF TIEOFF[0,0] TIEOFF_X19Y12 |
INT_R INT_R_X19Y12 TIEOFF TIEOFF[0,0] TIEOFF_X20Y12 |
CLBLM_R CLBLM_R_X19Y12 SLICEM SLICE[0,0] SLICE_X28Y12 SLICEL SLICE[1,0] SLICE_X29Y12 |
CLBLM_L CLBLM_L_X20Y12 SLICEM SLICE[0,0] SLICE_X30Y12 SLICEL SLICE[1,0] SLICE_X31Y12 |
INT_L INT_L_X20Y12 TIEOFF TIEOFF[0,0] TIEOFF_X21Y12 |
INT_R INT_R_X21Y12 TIEOFF TIEOFF[0,0] TIEOFF_X22Y12 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y12 |
VBRK VBRK_X72Y13 |
CLBLL_L CLBLL_L_X22Y12 SLICEL SLICE[0,0] SLICE_X32Y12 SLICEL SLICE[1,0] SLICE_X33Y12 |
INT_L INT_L_X22Y12 TIEOFF TIEOFF[0,0] TIEOFF_X23Y12 |
INT_R INT_R_X23Y12 TIEOFF TIEOFF[0,0] TIEOFF_X24Y12 |
CLBLM_R CLBLM_R_X23Y12 SLICEM SLICE[0,0] SLICE_X34Y12 SLICEL SLICE[1,0] SLICE_X35Y12 |
CLBLL_L CLBLL_L_X24Y12 SLICEL SLICE[0,0] SLICE_X36Y12 SLICEL SLICE[1,0] SLICE_X37Y12 |
INT_L INT_L_X24Y12 TIEOFF TIEOFF[0,0] TIEOFF_X25Y12 |
INT_R INT_R_X25Y12 TIEOFF TIEOFF[0,0] TIEOFF_X26Y12 |
CLBLM_R CLBLM_R_X25Y12 SLICEM SLICE[0,0] SLICE_X38Y12 SLICEL SLICE[1,0] SLICE_X39Y12 |
VBRK VBRK_X81Y13 |
CMT_FIFO_L CMT_FIFO_L_X83Y8 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X1Y0 IN_FIFO IN_FIFO[0,0] IN_FIFO_X1Y0 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y12 |
INT_L INT_L_X26Y12 TIEOFF TIEOFF[0,0] TIEOFF_X27Y12 |
INT_R INT_R_X27Y12 TIEOFF TIEOFF[0,0] TIEOFF_X28Y12 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y12 |
R_TERM_INT R_TERM_INT_X88Y13 |
RIOI3 RIOI3_X27Y11 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y11 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y12 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y11 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y12 IDELAYE2 IDELAY[0,0] IDELAY_X1Y11 IDELAYE2 IDELAY[0,1] IDELAY_X1Y12 |
RIOB33 RIOB33_X27Y11 IOB33S IOB[0,0] IOB_X1Y11 IOB33M IOB[0,1] IOB_X1Y12 |
|||||||
L_TERM_INT L_TERM_INT_X2Y12 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y11 |
INT_L INT_L_X0Y11 TIEOFF TIEOFF[0,0] TIEOFF_X0Y11 |
INT_R INT_R_X1Y11 TIEOFF TIEOFF[0,0] TIEOFF_X1Y11 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y11 |
VBRK VBRK_X9Y12 |
CLBLL_L CLBLL_L_X2Y11 SLICEL SLICE[0,0] SLICE_X0Y11 SLICEL SLICE[1,0] SLICE_X1Y11 |
INT_L INT_L_X2Y11 TIEOFF TIEOFF[0,0] TIEOFF_X2Y11 |
INT_R INT_R_X3Y11 TIEOFF TIEOFF[0,0] TIEOFF_X3Y11 |
CLBLM_R CLBLM_R_X3Y11 SLICEM SLICE[0,0] SLICE_X2Y11 SLICEL SLICE[1,0] SLICE_X3Y11 |
CLBLL_L CLBLL_L_X4Y11 SLICEL SLICE[0,0] SLICE_X4Y11 SLICEL SLICE[1,0] SLICE_X5Y11 |
INT_L INT_L_X4Y11 TIEOFF TIEOFF[0,0] TIEOFF_X4Y11 |
INT_R INT_R_X5Y11 TIEOFF TIEOFF[0,0] TIEOFF_X5Y11 |
CLBLM_R CLBLM_R_X5Y11 SLICEM SLICE[0,0] SLICE_X6Y11 SLICEL SLICE[1,0] SLICE_X7Y11 |
VBRK VBRK_X18Y12 |
CLBLL_L CLBLL_L_X6Y11 SLICEL SLICE[0,0] SLICE_X8Y11 SLICEL SLICE[1,0] SLICE_X9Y11 |
INT_L INT_L_X6Y11 TIEOFF TIEOFF[0,0] TIEOFF_X6Y11 |
INT_R INT_R_X7Y11 TIEOFF TIEOFF[0,0] TIEOFF_X7Y11 |
CLBLM_R CLBLM_R_X7Y11 SLICEM SLICE[0,0] SLICE_X10Y11 SLICEL SLICE[1,0] SLICE_X11Y11 |
CLBLL_L CLBLL_L_X8Y11 SLICEL SLICE[0,0] SLICE_X12Y11 SLICEL SLICE[1,0] SLICE_X13Y11 |
INT_L INT_L_X8Y11 TIEOFF TIEOFF[0,0] TIEOFF_X8Y11 |
INT_R INT_R_X9Y11 TIEOFF TIEOFF[0,0] TIEOFF_X9Y11 |
CLBLM_R CLBLM_R_X9Y11 SLICEM SLICE[0,0] SLICE_X14Y11 SLICEL SLICE[1,0] SLICE_X15Y11 |
VBRK VBRK_X27Y12 |
CLBLL_L CLBLL_L_X10Y11 SLICEL SLICE[0,0] SLICE_X16Y11 SLICEL SLICE[1,0] SLICE_X17Y11 |
INT_L INT_L_X10Y11 TIEOFF TIEOFF[0,0] TIEOFF_X10Y11 |
INT_R INT_R_X11Y11 TIEOFF TIEOFF[0,0] TIEOFF_X11Y11 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y11 |
CLK_FEED CLK_FEED_X32Y12 |
VBRK VBRK_X33Y12 |
CLBLM_L CLBLM_L_X12Y11 SLICEM SLICE[0,0] SLICE_X18Y11 SLICEL SLICE[1,0] SLICE_X19Y11 |
INT_L INT_L_X12Y11 TIEOFF TIEOFF[0,0] TIEOFF_X12Y11 |
INT_R INT_R_X13Y11 TIEOFF TIEOFF[0,0] TIEOFF_X13Y11 |
CLBLL_R CLBLL_R_X13Y11 SLICEL SLICE[0,0] SLICE_X20Y11 SLICEL SLICE[1,0] SLICE_X21Y11 |
VBRK VBRK_X38Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y12 |
VFRAME VFRAME_X51Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y11 |
INT_L INT_L_X14Y11 TIEOFF TIEOFF[0,0] TIEOFF_X14Y11 |
INT_R INT_R_X15Y11 TIEOFF TIEOFF[0,0] TIEOFF_X15Y11 |
CLBLM_R CLBLM_R_X15Y11 SLICEM SLICE[0,0] SLICE_X22Y11 SLICEL SLICE[1,0] SLICE_X23Y11 |
VBRK VBRK_X56Y12 |
CLBLM_L CLBLM_L_X16Y11 SLICEM SLICE[0,0] SLICE_X24Y11 SLICEL SLICE[1,0] SLICE_X25Y11 |
INT_L INT_L_X16Y11 TIEOFF TIEOFF[0,0] TIEOFF_X16Y11 |
INT_R INT_R_X17Y11 TIEOFF TIEOFF[0,0] TIEOFF_X17Y11 |
CLBLM_R CLBLM_R_X17Y11 SLICEM SLICE[0,0] SLICE_X26Y11 SLICEL SLICE[1,0] SLICE_X27Y11 |
VBRK VBRK_X61Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y11 |
INT_L INT_L_X18Y11 TIEOFF TIEOFF[0,0] TIEOFF_X19Y11 |
INT_R INT_R_X19Y11 TIEOFF TIEOFF[0,0] TIEOFF_X20Y11 |
CLBLM_R CLBLM_R_X19Y11 SLICEM SLICE[0,0] SLICE_X28Y11 SLICEL SLICE[1,0] SLICE_X29Y11 |
CLBLM_L CLBLM_L_X20Y11 SLICEM SLICE[0,0] SLICE_X30Y11 SLICEL SLICE[1,0] SLICE_X31Y11 |
INT_L INT_L_X20Y11 TIEOFF TIEOFF[0,0] TIEOFF_X21Y11 |
INT_R INT_R_X21Y11 TIEOFF TIEOFF[0,0] TIEOFF_X22Y11 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y11 |
VBRK VBRK_X72Y12 |
CLBLL_L CLBLL_L_X22Y11 SLICEL SLICE[0,0] SLICE_X32Y11 SLICEL SLICE[1,0] SLICE_X33Y11 |
INT_L INT_L_X22Y11 TIEOFF TIEOFF[0,0] TIEOFF_X23Y11 |
INT_R INT_R_X23Y11 TIEOFF TIEOFF[0,0] TIEOFF_X24Y11 |
CLBLM_R CLBLM_R_X23Y11 SLICEM SLICE[0,0] SLICE_X34Y11 SLICEL SLICE[1,0] SLICE_X35Y11 |
CLBLL_L CLBLL_L_X24Y11 SLICEL SLICE[0,0] SLICE_X36Y11 SLICEL SLICE[1,0] SLICE_X37Y11 |
INT_L INT_L_X24Y11 TIEOFF TIEOFF[0,0] TIEOFF_X25Y11 |
INT_R INT_R_X25Y11 TIEOFF TIEOFF[0,0] TIEOFF_X26Y11 |
CLBLM_R CLBLM_R_X25Y11 SLICEM SLICE[0,0] SLICE_X38Y11 SLICEL SLICE[1,0] SLICE_X39Y11 |
VBRK VBRK_X81Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y11 |
INT_L INT_L_X26Y11 TIEOFF TIEOFF[0,0] TIEOFF_X27Y11 |
INT_R INT_R_X27Y11 TIEOFF TIEOFF[0,0] TIEOFF_X28Y11 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y11 |
R_TERM_INT R_TERM_INT_X88Y12 |
||||||||||||
LIOB33 LIOB33_X0Y9 IOB33S IOB[0,0] IOB_X0Y9 IOB33M IOB[0,1] IOB_X0Y10 |
LIOI3 LIOI3_X0Y9 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y9 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y10 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y9 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y10 IDELAYE2 IDELAY[0,0] IDELAY_X0Y9 IDELAYE2 IDELAY[0,1] IDELAY_X0Y10 |
L_TERM_INT L_TERM_INT_X2Y11 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y10 |
INT_L INT_L_X0Y10 TIEOFF TIEOFF[0,0] TIEOFF_X0Y10 |
INT_R INT_R_X1Y10 TIEOFF TIEOFF[0,0] TIEOFF_X1Y10 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y10 |
VBRK VBRK_X9Y11 |
CLBLL_L CLBLL_L_X2Y10 SLICEL SLICE[0,0] SLICE_X0Y10 SLICEL SLICE[1,0] SLICE_X1Y10 |
INT_L INT_L_X2Y10 TIEOFF TIEOFF[0,0] TIEOFF_X2Y10 |
INT_R INT_R_X3Y10 TIEOFF TIEOFF[0,0] TIEOFF_X3Y10 |
CLBLM_R CLBLM_R_X3Y10 SLICEM SLICE[0,0] SLICE_X2Y10 SLICEL SLICE[1,0] SLICE_X3Y10 |
CLBLL_L CLBLL_L_X4Y10 SLICEL SLICE[0,0] SLICE_X4Y10 SLICEL SLICE[1,0] SLICE_X5Y10 |
INT_L INT_L_X4Y10 TIEOFF TIEOFF[0,0] TIEOFF_X4Y10 |
INT_R INT_R_X5Y10 TIEOFF TIEOFF[0,0] TIEOFF_X5Y10 |
CLBLM_R CLBLM_R_X5Y10 SLICEM SLICE[0,0] SLICE_X6Y10 SLICEL SLICE[1,0] SLICE_X7Y10 |
VBRK VBRK_X18Y11 |
CLBLL_L CLBLL_L_X6Y10 SLICEL SLICE[0,0] SLICE_X8Y10 SLICEL SLICE[1,0] SLICE_X9Y10 |
INT_L INT_L_X6Y10 TIEOFF TIEOFF[0,0] TIEOFF_X6Y10 |
INT_R INT_R_X7Y10 TIEOFF TIEOFF[0,0] TIEOFF_X7Y10 |
CLBLM_R CLBLM_R_X7Y10 SLICEM SLICE[0,0] SLICE_X10Y10 SLICEL SLICE[1,0] SLICE_X11Y10 |
CLBLL_L CLBLL_L_X8Y10 SLICEL SLICE[0,0] SLICE_X12Y10 SLICEL SLICE[1,0] SLICE_X13Y10 |
INT_L INT_L_X8Y10 TIEOFF TIEOFF[0,0] TIEOFF_X8Y10 |
INT_R INT_R_X9Y10 TIEOFF TIEOFF[0,0] TIEOFF_X9Y10 |
CLBLM_R CLBLM_R_X9Y10 SLICEM SLICE[0,0] SLICE_X14Y10 SLICEL SLICE[1,0] SLICE_X15Y10 |
VBRK VBRK_X27Y11 |
CLBLL_L CLBLL_L_X10Y10 SLICEL SLICE[0,0] SLICE_X16Y10 SLICEL SLICE[1,0] SLICE_X17Y10 |
INT_L INT_L_X10Y10 TIEOFF TIEOFF[0,0] TIEOFF_X10Y10 |
INT_R INT_R_X11Y10 TIEOFF TIEOFF[0,0] TIEOFF_X11Y10 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y10 |
CLK_FEED CLK_FEED_X32Y11 |
VBRK VBRK_X33Y11 |
CLBLM_L CLBLM_L_X12Y10 SLICEM SLICE[0,0] SLICE_X18Y10 SLICEL SLICE[1,0] SLICE_X19Y10 |
INT_L INT_L_X12Y10 TIEOFF TIEOFF[0,0] TIEOFF_X12Y10 |
INT_R INT_R_X13Y10 TIEOFF TIEOFF[0,0] TIEOFF_X13Y10 |
CLBLL_R CLBLL_R_X13Y10 SLICEL SLICE[0,0] SLICE_X20Y10 SLICEL SLICE[1,0] SLICE_X21Y10 |
VBRK VBRK_X38Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y11 |
VFRAME VFRAME_X51Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y10 |
INT_L INT_L_X14Y10 TIEOFF TIEOFF[0,0] TIEOFF_X14Y10 |
INT_R INT_R_X15Y10 TIEOFF TIEOFF[0,0] TIEOFF_X15Y10 |
CLBLM_R CLBLM_R_X15Y10 SLICEM SLICE[0,0] SLICE_X22Y10 SLICEL SLICE[1,0] SLICE_X23Y10 |
VBRK VBRK_X56Y11 |
CLBLM_L CLBLM_L_X16Y10 SLICEM SLICE[0,0] SLICE_X24Y10 SLICEL SLICE[1,0] SLICE_X25Y10 |
INT_L INT_L_X16Y10 TIEOFF TIEOFF[0,0] TIEOFF_X16Y10 |
INT_R INT_R_X17Y10 TIEOFF TIEOFF[0,0] TIEOFF_X17Y10 |
CLBLM_R CLBLM_R_X17Y10 SLICEM SLICE[0,0] SLICE_X26Y10 SLICEL SLICE[1,0] SLICE_X27Y10 |
VBRK VBRK_X61Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y10 |
INT_L INT_L_X18Y10 TIEOFF TIEOFF[0,0] TIEOFF_X19Y10 |
INT_R INT_R_X19Y10 TIEOFF TIEOFF[0,0] TIEOFF_X20Y10 |
CLBLM_R CLBLM_R_X19Y10 SLICEM SLICE[0,0] SLICE_X28Y10 SLICEL SLICE[1,0] SLICE_X29Y10 |
CLBLM_L CLBLM_L_X20Y10 SLICEM SLICE[0,0] SLICE_X30Y10 SLICEL SLICE[1,0] SLICE_X31Y10 |
INT_L INT_L_X20Y10 TIEOFF TIEOFF[0,0] TIEOFF_X21Y10 |
INT_R INT_R_X21Y10 TIEOFF TIEOFF[0,0] TIEOFF_X22Y10 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y10 |
VBRK VBRK_X72Y11 |
CLBLL_L CLBLL_L_X22Y10 SLICEL SLICE[0,0] SLICE_X32Y10 SLICEL SLICE[1,0] SLICE_X33Y10 |
INT_L INT_L_X22Y10 TIEOFF TIEOFF[0,0] TIEOFF_X23Y10 |
INT_R INT_R_X23Y10 TIEOFF TIEOFF[0,0] TIEOFF_X24Y10 |
CLBLM_R CLBLM_R_X23Y10 SLICEM SLICE[0,0] SLICE_X34Y10 SLICEL SLICE[1,0] SLICE_X35Y10 |
CLBLL_L CLBLL_L_X24Y10 SLICEL SLICE[0,0] SLICE_X36Y10 SLICEL SLICE[1,0] SLICE_X37Y10 |
INT_L INT_L_X24Y10 TIEOFF TIEOFF[0,0] TIEOFF_X25Y10 |
INT_R INT_R_X25Y10 TIEOFF TIEOFF[0,0] TIEOFF_X26Y10 |
CLBLM_R CLBLM_R_X25Y10 SLICEM SLICE[0,0] SLICE_X38Y10 SLICEL SLICE[1,0] SLICE_X39Y10 |
VBRK VBRK_X81Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y10 |
INT_L INT_L_X26Y10 TIEOFF TIEOFF[0,0] TIEOFF_X27Y10 |
INT_R INT_R_X27Y10 TIEOFF TIEOFF[0,0] TIEOFF_X28Y10 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y10 |
R_TERM_INT R_TERM_INT_X88Y11 |
RIOI3 RIOI3_X27Y9 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y9 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y10 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y9 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y10 IDELAYE2 IDELAY[0,0] IDELAY_X1Y9 IDELAYE2 IDELAY[0,1] IDELAY_X1Y10 |
RIOB33 RIOB33_X27Y9 IOB33S IOB[0,0] IOB_X1Y9 IOB33M IOB[0,1] IOB_X1Y10 |
||||||||
L_TERM_INT L_TERM_INT_X2Y10 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y9 |
INT_L INT_L_X0Y9 TIEOFF TIEOFF[0,0] TIEOFF_X0Y9 |
INT_R INT_R_X1Y9 TIEOFF TIEOFF[0,0] TIEOFF_X1Y9 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y9 |
VBRK VBRK_X9Y10 |
CLBLL_L CLBLL_L_X2Y9 SLICEL SLICE[0,0] SLICE_X0Y9 SLICEL SLICE[1,0] SLICE_X1Y9 |
INT_L INT_L_X2Y9 TIEOFF TIEOFF[0,0] TIEOFF_X2Y9 |
INT_R INT_R_X3Y9 TIEOFF TIEOFF[0,0] TIEOFF_X3Y9 |
CLBLM_R CLBLM_R_X3Y9 SLICEM SLICE[0,0] SLICE_X2Y9 SLICEL SLICE[1,0] SLICE_X3Y9 |
CLBLL_L CLBLL_L_X4Y9 SLICEL SLICE[0,0] SLICE_X4Y9 SLICEL SLICE[1,0] SLICE_X5Y9 |
INT_L INT_L_X4Y9 TIEOFF TIEOFF[0,0] TIEOFF_X4Y9 |
INT_R INT_R_X5Y9 TIEOFF TIEOFF[0,0] TIEOFF_X5Y9 |
CLBLM_R CLBLM_R_X5Y9 SLICEM SLICE[0,0] SLICE_X6Y9 SLICEL SLICE[1,0] SLICE_X7Y9 |
VBRK VBRK_X18Y10 |
CLBLL_L CLBLL_L_X6Y9 SLICEL SLICE[0,0] SLICE_X8Y9 SLICEL SLICE[1,0] SLICE_X9Y9 |
INT_L INT_L_X6Y9 TIEOFF TIEOFF[0,0] TIEOFF_X6Y9 |
INT_R INT_R_X7Y9 TIEOFF TIEOFF[0,0] TIEOFF_X7Y9 |
CLBLM_R CLBLM_R_X7Y9 SLICEM SLICE[0,0] SLICE_X10Y9 SLICEL SLICE[1,0] SLICE_X11Y9 |
CLBLL_L CLBLL_L_X8Y9 SLICEL SLICE[0,0] SLICE_X12Y9 SLICEL SLICE[1,0] SLICE_X13Y9 |
INT_L INT_L_X8Y9 TIEOFF TIEOFF[0,0] TIEOFF_X8Y9 |
INT_R INT_R_X9Y9 TIEOFF TIEOFF[0,0] TIEOFF_X9Y9 |
CLBLM_R CLBLM_R_X9Y9 SLICEM SLICE[0,0] SLICE_X14Y9 SLICEL SLICE[1,0] SLICE_X15Y9 |
VBRK VBRK_X27Y10 |
CLBLL_L CLBLL_L_X10Y9 SLICEL SLICE[0,0] SLICE_X16Y9 SLICEL SLICE[1,0] SLICE_X17Y9 |
INT_L INT_L_X10Y9 TIEOFF TIEOFF[0,0] TIEOFF_X10Y9 |
INT_R INT_R_X11Y9 TIEOFF TIEOFF[0,0] TIEOFF_X11Y9 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y9 |
CLK_FEED CLK_FEED_X32Y10 |
VBRK VBRK_X33Y10 |
CLBLM_L CLBLM_L_X12Y9 SLICEM SLICE[0,0] SLICE_X18Y9 SLICEL SLICE[1,0] SLICE_X19Y9 |
INT_L INT_L_X12Y9 TIEOFF TIEOFF[0,0] TIEOFF_X12Y9 |
INT_R INT_R_X13Y9 TIEOFF TIEOFF[0,0] TIEOFF_X13Y9 |
CLBLL_R CLBLL_R_X13Y9 SLICEL SLICE[0,0] SLICE_X20Y9 SLICEL SLICE[1,0] SLICE_X21Y9 |
VBRK VBRK_X38Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y10 |
VFRAME VFRAME_X51Y10 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y9 |
INT_L INT_L_X14Y9 TIEOFF TIEOFF[0,0] TIEOFF_X14Y9 |
INT_R INT_R_X15Y9 TIEOFF TIEOFF[0,0] TIEOFF_X15Y9 |
CLBLM_R CLBLM_R_X15Y9 SLICEM SLICE[0,0] SLICE_X22Y9 SLICEL SLICE[1,0] SLICE_X23Y9 |
VBRK VBRK_X56Y10 |
CLBLM_L CLBLM_L_X16Y9 SLICEM SLICE[0,0] SLICE_X24Y9 SLICEL SLICE[1,0] SLICE_X25Y9 |
INT_L INT_L_X16Y9 TIEOFF TIEOFF[0,0] TIEOFF_X16Y9 |
INT_R INT_R_X17Y9 TIEOFF TIEOFF[0,0] TIEOFF_X17Y9 |
CLBLM_R CLBLM_R_X17Y9 SLICEM SLICE[0,0] SLICE_X26Y9 SLICEL SLICE[1,0] SLICE_X27Y9 |
VBRK VBRK_X61Y10 |
DSP_L DSP_L_X18Y5 TIEOFF TIEOFF[0,0] TIEOFF_X18Y5 DSP48E1 DSP48[0,0] DSP48_X0Y2 DSP48E1 DSP48[0,1] DSP48_X0Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y9 |
INT_L INT_L_X18Y9 TIEOFF TIEOFF[0,0] TIEOFF_X19Y9 |
INT_R INT_R_X19Y9 TIEOFF TIEOFF[0,0] TIEOFF_X20Y9 |
CLBLM_R CLBLM_R_X19Y9 SLICEM SLICE[0,0] SLICE_X28Y9 SLICEL SLICE[1,0] SLICE_X29Y9 |
CLBLM_L CLBLM_L_X20Y9 SLICEM SLICE[0,0] SLICE_X30Y9 SLICEL SLICE[1,0] SLICE_X31Y9 |
INT_L INT_L_X20Y9 TIEOFF TIEOFF[0,0] TIEOFF_X21Y9 |
INT_R INT_R_X21Y9 TIEOFF TIEOFF[0,0] TIEOFF_X22Y9 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y9 |
BRAM_R BRAM_R_X21Y5 FIFO18E1 RAMB18[0,0] RAMB18_X0Y2 RAMB18E1 RAMB18[0,1] RAMB18_X0Y3 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y1 |
VBRK VBRK_X72Y10 |
CLBLL_L CLBLL_L_X22Y9 SLICEL SLICE[0,0] SLICE_X32Y9 SLICEL SLICE[1,0] SLICE_X33Y9 |
INT_L INT_L_X22Y9 TIEOFF TIEOFF[0,0] TIEOFF_X23Y9 |
INT_R INT_R_X23Y9 TIEOFF TIEOFF[0,0] TIEOFF_X24Y9 |
CLBLM_R CLBLM_R_X23Y9 SLICEM SLICE[0,0] SLICE_X34Y9 SLICEL SLICE[1,0] SLICE_X35Y9 |
CLBLL_L CLBLL_L_X24Y9 SLICEL SLICE[0,0] SLICE_X36Y9 SLICEL SLICE[1,0] SLICE_X37Y9 |
INT_L INT_L_X24Y9 TIEOFF TIEOFF[0,0] TIEOFF_X25Y9 |
INT_R INT_R_X25Y9 TIEOFF TIEOFF[0,0] TIEOFF_X26Y9 |
CLBLM_R CLBLM_R_X25Y9 SLICEM SLICE[0,0] SLICE_X38Y9 SLICEL SLICE[1,0] SLICE_X39Y9 |
VBRK VBRK_X81Y10 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y9 |
INT_L INT_L_X26Y9 TIEOFF TIEOFF[0,0] TIEOFF_X27Y9 |
INT_R INT_R_X27Y9 TIEOFF TIEOFF[0,0] TIEOFF_X28Y9 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y9 |
R_TERM_INT R_TERM_INT_X88Y10 |
||||||||||
LIOB33 LIOB33_X0Y7 IOB33S IOB[0,0] IOB_X0Y7 IOB33M IOB[0,1] IOB_X0Y8 |
LIOI3_TBYTESRC LIOI3_TBYTESRC_X0Y7 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y7 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y8 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y7 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y8 IDELAYE2 IDELAY[0,0] IDELAY_X0Y7 IDELAYE2 IDELAY[0,1] IDELAY_X0Y8 |
L_TERM_INT L_TERM_INT_X2Y9 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y8 |
INT_L INT_L_X0Y8 TIEOFF TIEOFF[0,0] TIEOFF_X0Y8 |
INT_R INT_R_X1Y8 TIEOFF TIEOFF[0,0] TIEOFF_X1Y8 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y8 |
VBRK VBRK_X9Y9 |
CLBLL_L CLBLL_L_X2Y8 SLICEL SLICE[0,0] SLICE_X0Y8 SLICEL SLICE[1,0] SLICE_X1Y8 |
INT_L INT_L_X2Y8 TIEOFF TIEOFF[0,0] TIEOFF_X2Y8 |
INT_R INT_R_X3Y8 TIEOFF TIEOFF[0,0] TIEOFF_X3Y8 |
CLBLM_R CLBLM_R_X3Y8 SLICEM SLICE[0,0] SLICE_X2Y8 SLICEL SLICE[1,0] SLICE_X3Y8 |
CLBLL_L CLBLL_L_X4Y8 SLICEL SLICE[0,0] SLICE_X4Y8 SLICEL SLICE[1,0] SLICE_X5Y8 |
INT_L INT_L_X4Y8 TIEOFF TIEOFF[0,0] TIEOFF_X4Y8 |
INT_R INT_R_X5Y8 TIEOFF TIEOFF[0,0] TIEOFF_X5Y8 |
CLBLM_R CLBLM_R_X5Y8 SLICEM SLICE[0,0] SLICE_X6Y8 SLICEL SLICE[1,0] SLICE_X7Y8 |
VBRK VBRK_X18Y9 |
CLBLL_L CLBLL_L_X6Y8 SLICEL SLICE[0,0] SLICE_X8Y8 SLICEL SLICE[1,0] SLICE_X9Y8 |
INT_L INT_L_X6Y8 TIEOFF TIEOFF[0,0] TIEOFF_X6Y8 |
INT_R INT_R_X7Y8 TIEOFF TIEOFF[0,0] TIEOFF_X7Y8 |
CLBLM_R CLBLM_R_X7Y8 SLICEM SLICE[0,0] SLICE_X10Y8 SLICEL SLICE[1,0] SLICE_X11Y8 |
CLBLL_L CLBLL_L_X8Y8 SLICEL SLICE[0,0] SLICE_X12Y8 SLICEL SLICE[1,0] SLICE_X13Y8 |
INT_L INT_L_X8Y8 TIEOFF TIEOFF[0,0] TIEOFF_X8Y8 |
INT_R INT_R_X9Y8 TIEOFF TIEOFF[0,0] TIEOFF_X9Y8 |
CLBLM_R CLBLM_R_X9Y8 SLICEM SLICE[0,0] SLICE_X14Y8 SLICEL SLICE[1,0] SLICE_X15Y8 |
VBRK VBRK_X27Y9 |
CLBLL_L CLBLL_L_X10Y8 SLICEL SLICE[0,0] SLICE_X16Y8 SLICEL SLICE[1,0] SLICE_X17Y8 |
INT_L INT_L_X10Y8 TIEOFF TIEOFF[0,0] TIEOFF_X10Y8 |
INT_R INT_R_X11Y8 TIEOFF TIEOFF[0,0] TIEOFF_X11Y8 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y8 |
CLK_FEED CLK_FEED_X32Y9 |
VBRK VBRK_X33Y9 |
CLBLM_L CLBLM_L_X12Y8 SLICEM SLICE[0,0] SLICE_X18Y8 SLICEL SLICE[1,0] SLICE_X19Y8 |
INT_L INT_L_X12Y8 TIEOFF TIEOFF[0,0] TIEOFF_X12Y8 |
INT_R INT_R_X13Y8 TIEOFF TIEOFF[0,0] TIEOFF_X13Y8 |
CLBLL_R CLBLL_R_X13Y8 SLICEL SLICE[0,0] SLICE_X20Y8 SLICEL SLICE[1,0] SLICE_X21Y8 |
VBRK VBRK_X38Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y9 |
VFRAME VFRAME_X51Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y8 |
INT_L INT_L_X14Y8 TIEOFF TIEOFF[0,0] TIEOFF_X14Y8 |
INT_R INT_R_X15Y8 TIEOFF TIEOFF[0,0] TIEOFF_X15Y8 |
CLBLM_R CLBLM_R_X15Y8 SLICEM SLICE[0,0] SLICE_X22Y8 SLICEL SLICE[1,0] SLICE_X23Y8 |
VBRK VBRK_X56Y9 |
CLBLM_L CLBLM_L_X16Y8 SLICEM SLICE[0,0] SLICE_X24Y8 SLICEL SLICE[1,0] SLICE_X25Y8 |
INT_L INT_L_X16Y8 TIEOFF TIEOFF[0,0] TIEOFF_X16Y8 |
INT_R INT_R_X17Y8 TIEOFF TIEOFF[0,0] TIEOFF_X17Y8 |
CLBLM_R CLBLM_R_X17Y8 SLICEM SLICE[0,0] SLICE_X26Y8 SLICEL SLICE[1,0] SLICE_X27Y8 |
VBRK VBRK_X61Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y8 |
INT_L INT_L_X18Y8 TIEOFF TIEOFF[0,0] TIEOFF_X19Y8 |
INT_R INT_R_X19Y8 TIEOFF TIEOFF[0,0] TIEOFF_X20Y8 |
CLBLM_R CLBLM_R_X19Y8 SLICEM SLICE[0,0] SLICE_X28Y8 SLICEL SLICE[1,0] SLICE_X29Y8 |
CLBLM_L CLBLM_L_X20Y8 SLICEM SLICE[0,0] SLICE_X30Y8 SLICEL SLICE[1,0] SLICE_X31Y8 |
INT_L INT_L_X20Y8 TIEOFF TIEOFF[0,0] TIEOFF_X21Y8 |
INT_R INT_R_X21Y8 TIEOFF TIEOFF[0,0] TIEOFF_X22Y8 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y8 |
VBRK VBRK_X72Y9 |
CLBLL_L CLBLL_L_X22Y8 SLICEL SLICE[0,0] SLICE_X32Y8 SLICEL SLICE[1,0] SLICE_X33Y8 |
INT_L INT_L_X22Y8 TIEOFF TIEOFF[0,0] TIEOFF_X23Y8 |
INT_R INT_R_X23Y8 TIEOFF TIEOFF[0,0] TIEOFF_X24Y8 |
CLBLM_R CLBLM_R_X23Y8 SLICEM SLICE[0,0] SLICE_X34Y8 SLICEL SLICE[1,0] SLICE_X35Y8 |
CLBLL_L CLBLL_L_X24Y8 SLICEL SLICE[0,0] SLICE_X36Y8 SLICEL SLICE[1,0] SLICE_X37Y8 |
INT_L INT_L_X24Y8 TIEOFF TIEOFF[0,0] TIEOFF_X25Y8 |
INT_R INT_R_X25Y8 TIEOFF TIEOFF[0,0] TIEOFF_X26Y8 |
CLBLM_R CLBLM_R_X25Y8 SLICEM SLICE[0,0] SLICE_X38Y8 SLICEL SLICE[1,0] SLICE_X39Y8 |
VBRK VBRK_X81Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y8 |
INT_L INT_L_X26Y8 TIEOFF TIEOFF[0,0] TIEOFF_X27Y8 |
INT_R INT_R_X27Y8 TIEOFF TIEOFF[0,0] TIEOFF_X28Y8 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y8 |
R_TERM_INT R_TERM_INT_X88Y9 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X27Y7 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y7 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y8 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y7 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y8 IDELAYE2 IDELAY[0,0] IDELAY_X1Y7 IDELAYE2 IDELAY[0,1] IDELAY_X1Y8 |
RIOB33 RIOB33_X27Y7 IOB33S IOB[0,0] IOB_X1Y7 IOB33M IOB[0,1] IOB_X1Y8 |
||||||||
L_TERM_INT L_TERM_INT_X2Y8 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y7 |
INT_L INT_L_X0Y7 TIEOFF TIEOFF[0,0] TIEOFF_X0Y7 |
INT_R INT_R_X1Y7 TIEOFF TIEOFF[0,0] TIEOFF_X1Y7 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y7 |
VBRK VBRK_X9Y8 |
CLBLL_L CLBLL_L_X2Y7 SLICEL SLICE[0,0] SLICE_X0Y7 SLICEL SLICE[1,0] SLICE_X1Y7 |
INT_L INT_L_X2Y7 TIEOFF TIEOFF[0,0] TIEOFF_X2Y7 |
INT_R INT_R_X3Y7 TIEOFF TIEOFF[0,0] TIEOFF_X3Y7 |
CLBLM_R CLBLM_R_X3Y7 SLICEM SLICE[0,0] SLICE_X2Y7 SLICEL SLICE[1,0] SLICE_X3Y7 |
CLBLL_L CLBLL_L_X4Y7 SLICEL SLICE[0,0] SLICE_X4Y7 SLICEL SLICE[1,0] SLICE_X5Y7 |
INT_L INT_L_X4Y7 TIEOFF TIEOFF[0,0] TIEOFF_X4Y7 |
INT_R INT_R_X5Y7 TIEOFF TIEOFF[0,0] TIEOFF_X5Y7 |
CLBLM_R CLBLM_R_X5Y7 SLICEM SLICE[0,0] SLICE_X6Y7 SLICEL SLICE[1,0] SLICE_X7Y7 |
VBRK VBRK_X18Y8 |
CLBLL_L CLBLL_L_X6Y7 SLICEL SLICE[0,0] SLICE_X8Y7 SLICEL SLICE[1,0] SLICE_X9Y7 |
INT_L INT_L_X6Y7 TIEOFF TIEOFF[0,0] TIEOFF_X6Y7 |
INT_R INT_R_X7Y7 TIEOFF TIEOFF[0,0] TIEOFF_X7Y7 |
CLBLM_R CLBLM_R_X7Y7 SLICEM SLICE[0,0] SLICE_X10Y7 SLICEL SLICE[1,0] SLICE_X11Y7 |
CLBLL_L CLBLL_L_X8Y7 SLICEL SLICE[0,0] SLICE_X12Y7 SLICEL SLICE[1,0] SLICE_X13Y7 |
INT_L INT_L_X8Y7 TIEOFF TIEOFF[0,0] TIEOFF_X8Y7 |
INT_R INT_R_X9Y7 TIEOFF TIEOFF[0,0] TIEOFF_X9Y7 |
CLBLM_R CLBLM_R_X9Y7 SLICEM SLICE[0,0] SLICE_X14Y7 SLICEL SLICE[1,0] SLICE_X15Y7 |
VBRK VBRK_X27Y8 |
CLBLL_L CLBLL_L_X10Y7 SLICEL SLICE[0,0] SLICE_X16Y7 SLICEL SLICE[1,0] SLICE_X17Y7 |
INT_L INT_L_X10Y7 TIEOFF TIEOFF[0,0] TIEOFF_X10Y7 |
INT_R INT_R_X11Y7 TIEOFF TIEOFF[0,0] TIEOFF_X11Y7 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y7 |
CLK_FEED CLK_FEED_X32Y8 |
VBRK VBRK_X33Y8 |
CLBLM_L CLBLM_L_X12Y7 SLICEM SLICE[0,0] SLICE_X18Y7 SLICEL SLICE[1,0] SLICE_X19Y7 |
INT_L INT_L_X12Y7 TIEOFF TIEOFF[0,0] TIEOFF_X12Y7 |
INT_R INT_R_X13Y7 TIEOFF TIEOFF[0,0] TIEOFF_X13Y7 |
CLBLL_R CLBLL_R_X13Y7 SLICEL SLICE[0,0] SLICE_X20Y7 SLICEL SLICE[1,0] SLICE_X21Y7 |
VBRK VBRK_X38Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y8 |
VFRAME VFRAME_X51Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y7 |
INT_L INT_L_X14Y7 TIEOFF TIEOFF[0,0] TIEOFF_X14Y7 |
INT_R INT_R_X15Y7 TIEOFF TIEOFF[0,0] TIEOFF_X15Y7 |
CLBLM_R CLBLM_R_X15Y7 SLICEM SLICE[0,0] SLICE_X22Y7 SLICEL SLICE[1,0] SLICE_X23Y7 |
VBRK VBRK_X56Y8 |
CLBLM_L CLBLM_L_X16Y7 SLICEM SLICE[0,0] SLICE_X24Y7 SLICEL SLICE[1,0] SLICE_X25Y7 |
INT_L INT_L_X16Y7 TIEOFF TIEOFF[0,0] TIEOFF_X16Y7 |
INT_R INT_R_X17Y7 TIEOFF TIEOFF[0,0] TIEOFF_X17Y7 |
CLBLM_R CLBLM_R_X17Y7 SLICEM SLICE[0,0] SLICE_X26Y7 SLICEL SLICE[1,0] SLICE_X27Y7 |
VBRK VBRK_X61Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y7 |
INT_L INT_L_X18Y7 TIEOFF TIEOFF[0,0] TIEOFF_X19Y7 |
INT_R INT_R_X19Y7 TIEOFF TIEOFF[0,0] TIEOFF_X20Y7 |
CLBLM_R CLBLM_R_X19Y7 SLICEM SLICE[0,0] SLICE_X28Y7 SLICEL SLICE[1,0] SLICE_X29Y7 |
CLBLM_L CLBLM_L_X20Y7 SLICEM SLICE[0,0] SLICE_X30Y7 SLICEL SLICE[1,0] SLICE_X31Y7 |
INT_L INT_L_X20Y7 TIEOFF TIEOFF[0,0] TIEOFF_X21Y7 |
INT_R INT_R_X21Y7 TIEOFF TIEOFF[0,0] TIEOFF_X22Y7 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y7 |
VBRK VBRK_X72Y8 |
CLBLL_L CLBLL_L_X22Y7 SLICEL SLICE[0,0] SLICE_X32Y7 SLICEL SLICE[1,0] SLICE_X33Y7 |
INT_L INT_L_X22Y7 TIEOFF TIEOFF[0,0] TIEOFF_X23Y7 |
INT_R INT_R_X23Y7 TIEOFF TIEOFF[0,0] TIEOFF_X24Y7 |
CLBLM_R CLBLM_R_X23Y7 SLICEM SLICE[0,0] SLICE_X34Y7 SLICEL SLICE[1,0] SLICE_X35Y7 |
CLBLL_L CLBLL_L_X24Y7 SLICEL SLICE[0,0] SLICE_X36Y7 SLICEL SLICE[1,0] SLICE_X37Y7 |
INT_L INT_L_X24Y7 TIEOFF TIEOFF[0,0] TIEOFF_X25Y7 |
INT_R INT_R_X25Y7 TIEOFF TIEOFF[0,0] TIEOFF_X26Y7 |
CLBLM_R CLBLM_R_X25Y7 SLICEM SLICE[0,0] SLICE_X38Y7 SLICEL SLICE[1,0] SLICE_X39Y7 |
VBRK VBRK_X81Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y7 |
INT_L INT_L_X26Y7 TIEOFF TIEOFF[0,0] TIEOFF_X27Y7 |
INT_R INT_R_X27Y7 TIEOFF TIEOFF[0,0] TIEOFF_X28Y7 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y7 |
R_TERM_INT R_TERM_INT_X88Y8 |
||||||||||||
LIOB33 LIOB33_X0Y5 IOB33S IOB[0,0] IOB_X0Y5 IOB33M IOB[0,1] IOB_X0Y6 |
LIOI3 LIOI3_X0Y5 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y5 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y6 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y5 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y6 IDELAYE2 IDELAY[0,0] IDELAY_X0Y5 IDELAYE2 IDELAY[0,1] IDELAY_X0Y6 |
L_TERM_INT L_TERM_INT_X2Y7 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y6 |
INT_L INT_L_X0Y6 TIEOFF TIEOFF[0,0] TIEOFF_X0Y6 |
INT_R INT_R_X1Y6 TIEOFF TIEOFF[0,0] TIEOFF_X1Y6 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y6 |
VBRK VBRK_X9Y7 |
CLBLL_L CLBLL_L_X2Y6 SLICEL SLICE[0,0] SLICE_X0Y6 SLICEL SLICE[1,0] SLICE_X1Y6 |
INT_L INT_L_X2Y6 TIEOFF TIEOFF[0,0] TIEOFF_X2Y6 |
INT_R INT_R_X3Y6 TIEOFF TIEOFF[0,0] TIEOFF_X3Y6 |
CLBLM_R CLBLM_R_X3Y6 SLICEM SLICE[0,0] SLICE_X2Y6 SLICEL SLICE[1,0] SLICE_X3Y6 |
CLBLL_L CLBLL_L_X4Y6 SLICEL SLICE[0,0] SLICE_X4Y6 SLICEL SLICE[1,0] SLICE_X5Y6 |
INT_L INT_L_X4Y6 TIEOFF TIEOFF[0,0] TIEOFF_X4Y6 |
INT_R INT_R_X5Y6 TIEOFF TIEOFF[0,0] TIEOFF_X5Y6 |
CLBLM_R CLBLM_R_X5Y6 SLICEM SLICE[0,0] SLICE_X6Y6 SLICEL SLICE[1,0] SLICE_X7Y6 |
VBRK VBRK_X18Y7 |
CLBLL_L CLBLL_L_X6Y6 SLICEL SLICE[0,0] SLICE_X8Y6 SLICEL SLICE[1,0] SLICE_X9Y6 |
INT_L INT_L_X6Y6 TIEOFF TIEOFF[0,0] TIEOFF_X6Y6 |
INT_R INT_R_X7Y6 TIEOFF TIEOFF[0,0] TIEOFF_X7Y6 |
CLBLM_R CLBLM_R_X7Y6 SLICEM SLICE[0,0] SLICE_X10Y6 SLICEL SLICE[1,0] SLICE_X11Y6 |
CLBLL_L CLBLL_L_X8Y6 SLICEL SLICE[0,0] SLICE_X12Y6 SLICEL SLICE[1,0] SLICE_X13Y6 |
INT_L INT_L_X8Y6 TIEOFF TIEOFF[0,0] TIEOFF_X8Y6 |
INT_R INT_R_X9Y6 TIEOFF TIEOFF[0,0] TIEOFF_X9Y6 |
CLBLM_R CLBLM_R_X9Y6 SLICEM SLICE[0,0] SLICE_X14Y6 SLICEL SLICE[1,0] SLICE_X15Y6 |
VBRK VBRK_X27Y7 |
CLBLL_L CLBLL_L_X10Y6 SLICEL SLICE[0,0] SLICE_X16Y6 SLICEL SLICE[1,0] SLICE_X17Y6 |
INT_L INT_L_X10Y6 TIEOFF TIEOFF[0,0] TIEOFF_X10Y6 |
INT_R INT_R_X11Y6 TIEOFF TIEOFF[0,0] TIEOFF_X11Y6 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y6 |
CLK_PMV CLK_PMV_X32Y1 PMV PMV[0,0] PMV_X0Y0 |
VBRK VBRK_X33Y7 |
CLBLM_L CLBLM_L_X12Y6 SLICEM SLICE[0,0] SLICE_X18Y6 SLICEL SLICE[1,0] SLICE_X19Y6 |
INT_L INT_L_X12Y6 TIEOFF TIEOFF[0,0] TIEOFF_X12Y6 |
INT_R INT_R_X13Y6 TIEOFF TIEOFF[0,0] TIEOFF_X13Y6 |
CLBLL_R CLBLL_R_X13Y6 SLICEL SLICE[0,0] SLICE_X20Y6 SLICEL SLICE[1,0] SLICE_X21Y6 |
VBRK VBRK_X38Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y7 |
VFRAME VFRAME_X51Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y6 |
INT_L INT_L_X14Y6 TIEOFF TIEOFF[0,0] TIEOFF_X14Y6 |
INT_R INT_R_X15Y6 TIEOFF TIEOFF[0,0] TIEOFF_X15Y6 |
CLBLM_R CLBLM_R_X15Y6 SLICEM SLICE[0,0] SLICE_X22Y6 SLICEL SLICE[1,0] SLICE_X23Y6 |
VBRK VBRK_X56Y7 |
CLBLM_L CLBLM_L_X16Y6 SLICEM SLICE[0,0] SLICE_X24Y6 SLICEL SLICE[1,0] SLICE_X25Y6 |
INT_L INT_L_X16Y6 TIEOFF TIEOFF[0,0] TIEOFF_X16Y6 |
INT_R INT_R_X17Y6 TIEOFF TIEOFF[0,0] TIEOFF_X17Y6 |
CLBLM_R CLBLM_R_X17Y6 SLICEM SLICE[0,0] SLICE_X26Y6 SLICEL SLICE[1,0] SLICE_X27Y6 |
VBRK VBRK_X61Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y6 |
INT_L INT_L_X18Y6 TIEOFF TIEOFF[0,0] TIEOFF_X19Y6 |
INT_R INT_R_X19Y6 TIEOFF TIEOFF[0,0] TIEOFF_X20Y6 |
CLBLM_R CLBLM_R_X19Y6 SLICEM SLICE[0,0] SLICE_X28Y6 SLICEL SLICE[1,0] SLICE_X29Y6 |
CLBLM_L CLBLM_L_X20Y6 SLICEM SLICE[0,0] SLICE_X30Y6 SLICEL SLICE[1,0] SLICE_X31Y6 |
INT_L INT_L_X20Y6 TIEOFF TIEOFF[0,0] TIEOFF_X21Y6 |
INT_R INT_R_X21Y6 TIEOFF TIEOFF[0,0] TIEOFF_X22Y6 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y6 |
VBRK VBRK_X72Y7 |
CLBLL_L CLBLL_L_X22Y6 SLICEL SLICE[0,0] SLICE_X32Y6 SLICEL SLICE[1,0] SLICE_X33Y6 |
INT_L INT_L_X22Y6 TIEOFF TIEOFF[0,0] TIEOFF_X23Y6 |
INT_R INT_R_X23Y6 TIEOFF TIEOFF[0,0] TIEOFF_X24Y6 |
CLBLM_R CLBLM_R_X23Y6 SLICEM SLICE[0,0] SLICE_X34Y6 SLICEL SLICE[1,0] SLICE_X35Y6 |
CLBLL_L CLBLL_L_X24Y6 SLICEL SLICE[0,0] SLICE_X36Y6 SLICEL SLICE[1,0] SLICE_X37Y6 |
INT_L INT_L_X24Y6 TIEOFF TIEOFF[0,0] TIEOFF_X25Y6 |
INT_R INT_R_X25Y6 TIEOFF TIEOFF[0,0] TIEOFF_X26Y6 |
CLBLM_R CLBLM_R_X25Y6 SLICEM SLICE[0,0] SLICE_X38Y6 SLICEL SLICE[1,0] SLICE_X39Y6 |
VBRK VBRK_X81Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y6 |
INT_L INT_L_X26Y6 TIEOFF TIEOFF[0,0] TIEOFF_X27Y6 |
INT_R INT_R_X27Y6 TIEOFF TIEOFF[0,0] TIEOFF_X28Y6 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y6 |
R_TERM_INT R_TERM_INT_X88Y7 |
RIOI3 RIOI3_X27Y5 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y5 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y6 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y5 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y6 IDELAYE2 IDELAY[0,0] IDELAY_X1Y5 IDELAYE2 IDELAY[0,1] IDELAY_X1Y6 |
RIOB33 RIOB33_X27Y5 IOB33S IOB[0,0] IOB_X1Y5 IOB33M IOB[0,1] IOB_X1Y6 |
||||||||
L_TERM_INT L_TERM_INT_X2Y6 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y5 |
INT_L INT_L_X0Y5 TIEOFF TIEOFF[0,0] TIEOFF_X0Y5 |
INT_R INT_R_X1Y5 TIEOFF TIEOFF[0,0] TIEOFF_X1Y5 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y5 |
VBRK VBRK_X9Y6 |
CLBLL_L CLBLL_L_X2Y5 SLICEL SLICE[0,0] SLICE_X0Y5 SLICEL SLICE[1,0] SLICE_X1Y5 |
INT_L INT_L_X2Y5 TIEOFF TIEOFF[0,0] TIEOFF_X2Y5 |
INT_R INT_R_X3Y5 TIEOFF TIEOFF[0,0] TIEOFF_X3Y5 |
CLBLM_R CLBLM_R_X3Y5 SLICEM SLICE[0,0] SLICE_X2Y5 SLICEL SLICE[1,0] SLICE_X3Y5 |
CLBLL_L CLBLL_L_X4Y5 SLICEL SLICE[0,0] SLICE_X4Y5 SLICEL SLICE[1,0] SLICE_X5Y5 |
INT_L INT_L_X4Y5 TIEOFF TIEOFF[0,0] TIEOFF_X4Y5 |
INT_R INT_R_X5Y5 TIEOFF TIEOFF[0,0] TIEOFF_X5Y5 |
CLBLM_R CLBLM_R_X5Y5 SLICEM SLICE[0,0] SLICE_X6Y5 SLICEL SLICE[1,0] SLICE_X7Y5 |
VBRK VBRK_X18Y6 |
CLBLL_L CLBLL_L_X6Y5 SLICEL SLICE[0,0] SLICE_X8Y5 SLICEL SLICE[1,0] SLICE_X9Y5 |
INT_L INT_L_X6Y5 TIEOFF TIEOFF[0,0] TIEOFF_X6Y5 |
INT_R INT_R_X7Y5 TIEOFF TIEOFF[0,0] TIEOFF_X7Y5 |
CLBLM_R CLBLM_R_X7Y5 SLICEM SLICE[0,0] SLICE_X10Y5 SLICEL SLICE[1,0] SLICE_X11Y5 |
CLBLL_L CLBLL_L_X8Y5 SLICEL SLICE[0,0] SLICE_X12Y5 SLICEL SLICE[1,0] SLICE_X13Y5 |
INT_L INT_L_X8Y5 TIEOFF TIEOFF[0,0] TIEOFF_X8Y5 |
INT_R INT_R_X9Y5 TIEOFF TIEOFF[0,0] TIEOFF_X9Y5 |
CLBLM_R CLBLM_R_X9Y5 SLICEM SLICE[0,0] SLICE_X14Y5 SLICEL SLICE[1,0] SLICE_X15Y5 |
VBRK VBRK_X27Y6 |
CLBLL_L CLBLL_L_X10Y5 SLICEL SLICE[0,0] SLICE_X16Y5 SLICEL SLICE[1,0] SLICE_X17Y5 |
INT_L INT_L_X10Y5 TIEOFF TIEOFF[0,0] TIEOFF_X10Y5 |
INT_R INT_R_X11Y5 TIEOFF TIEOFF[0,0] TIEOFF_X11Y5 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y5 |
VBRK VBRK_X33Y6 |
CLBLM_L CLBLM_L_X12Y5 SLICEM SLICE[0,0] SLICE_X18Y5 SLICEL SLICE[1,0] SLICE_X19Y5 |
INT_L INT_L_X12Y5 TIEOFF TIEOFF[0,0] TIEOFF_X12Y5 |
INT_R INT_R_X13Y5 TIEOFF TIEOFF[0,0] TIEOFF_X13Y5 |
CLBLL_R CLBLL_R_X13Y5 SLICEL SLICE[0,0] SLICE_X20Y5 SLICEL SLICE[1,0] SLICE_X21Y5 |
VBRK VBRK_X38Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y6 |
VFRAME VFRAME_X51Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y5 |
INT_L INT_L_X14Y5 TIEOFF TIEOFF[0,0] TIEOFF_X14Y5 |
INT_R INT_R_X15Y5 TIEOFF TIEOFF[0,0] TIEOFF_X15Y5 |
CLBLM_R CLBLM_R_X15Y5 SLICEM SLICE[0,0] SLICE_X22Y5 SLICEL SLICE[1,0] SLICE_X23Y5 |
VBRK VBRK_X56Y6 |
CLBLM_L CLBLM_L_X16Y5 SLICEM SLICE[0,0] SLICE_X24Y5 SLICEL SLICE[1,0] SLICE_X25Y5 |
INT_L INT_L_X16Y5 TIEOFF TIEOFF[0,0] TIEOFF_X16Y5 |
INT_R INT_R_X17Y5 TIEOFF TIEOFF[0,0] TIEOFF_X17Y5 |
CLBLM_R CLBLM_R_X17Y5 SLICEM SLICE[0,0] SLICE_X26Y5 SLICEL SLICE[1,0] SLICE_X27Y5 |
VBRK VBRK_X61Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y5 |
INT_L INT_L_X18Y5 TIEOFF TIEOFF[0,0] TIEOFF_X19Y5 |
INT_R INT_R_X19Y5 TIEOFF TIEOFF[0,0] TIEOFF_X20Y5 |
CLBLM_R CLBLM_R_X19Y5 SLICEM SLICE[0,0] SLICE_X28Y5 SLICEL SLICE[1,0] SLICE_X29Y5 |
CLBLM_L CLBLM_L_X20Y5 SLICEM SLICE[0,0] SLICE_X30Y5 SLICEL SLICE[1,0] SLICE_X31Y5 |
INT_L INT_L_X20Y5 TIEOFF TIEOFF[0,0] TIEOFF_X21Y5 |
INT_R INT_R_X21Y5 TIEOFF TIEOFF[0,0] TIEOFF_X22Y5 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y5 |
VBRK VBRK_X72Y6 |
CLBLL_L CLBLL_L_X22Y5 SLICEL SLICE[0,0] SLICE_X32Y5 SLICEL SLICE[1,0] SLICE_X33Y5 |
INT_L INT_L_X22Y5 TIEOFF TIEOFF[0,0] TIEOFF_X23Y5 |
INT_R INT_R_X23Y5 TIEOFF TIEOFF[0,0] TIEOFF_X24Y5 |
CLBLM_R CLBLM_R_X23Y5 SLICEM SLICE[0,0] SLICE_X34Y5 SLICEL SLICE[1,0] SLICE_X35Y5 |
CLBLL_L CLBLL_L_X24Y5 SLICEL SLICE[0,0] SLICE_X36Y5 SLICEL SLICE[1,0] SLICE_X37Y5 |
INT_L INT_L_X24Y5 TIEOFF TIEOFF[0,0] TIEOFF_X25Y5 |
INT_R INT_R_X25Y5 TIEOFF TIEOFF[0,0] TIEOFF_X26Y5 |
CLBLM_R CLBLM_R_X25Y5 SLICEM SLICE[0,0] SLICE_X38Y5 SLICEL SLICE[1,0] SLICE_X39Y5 |
VBRK VBRK_X81Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y5 |
INT_L INT_L_X26Y5 TIEOFF TIEOFF[0,0] TIEOFF_X27Y5 |
INT_R INT_R_X27Y5 TIEOFF TIEOFF[0,0] TIEOFF_X28Y5 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y5 |
R_TERM_INT R_TERM_INT_X88Y6 |
|||||||||||||
LIOB33 LIOB33_X0Y3 IOB33S IOB[0,0] IOB_X0Y3 IOB33M IOB[0,1] IOB_X0Y4 |
LIOI3 LIOI3_X0Y3 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y3 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y4 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y3 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y4 IDELAYE2 IDELAY[0,0] IDELAY_X0Y3 IDELAYE2 IDELAY[0,1] IDELAY_X0Y4 |
L_TERM_INT L_TERM_INT_X2Y5 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y4 |
INT_L INT_L_X0Y4 TIEOFF TIEOFF[0,0] TIEOFF_X0Y4 |
INT_R INT_R_X1Y4 TIEOFF TIEOFF[0,0] TIEOFF_X1Y4 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y4 |
VBRK VBRK_X9Y5 |
CLBLL_L CLBLL_L_X2Y4 SLICEL SLICE[0,0] SLICE_X0Y4 SLICEL SLICE[1,0] SLICE_X1Y4 |
INT_L INT_L_X2Y4 TIEOFF TIEOFF[0,0] TIEOFF_X2Y4 |
INT_R INT_R_X3Y4 TIEOFF TIEOFF[0,0] TIEOFF_X3Y4 |
CLBLM_R CLBLM_R_X3Y4 SLICEM SLICE[0,0] SLICE_X2Y4 SLICEL SLICE[1,0] SLICE_X3Y4 |
CLBLL_L CLBLL_L_X4Y4 SLICEL SLICE[0,0] SLICE_X4Y4 SLICEL SLICE[1,0] SLICE_X5Y4 |
INT_L INT_L_X4Y4 TIEOFF TIEOFF[0,0] TIEOFF_X4Y4 |
INT_R INT_R_X5Y4 TIEOFF TIEOFF[0,0] TIEOFF_X5Y4 |
CLBLM_R CLBLM_R_X5Y4 SLICEM SLICE[0,0] SLICE_X6Y4 SLICEL SLICE[1,0] SLICE_X7Y4 |
VBRK VBRK_X18Y5 |
CLBLL_L CLBLL_L_X6Y4 SLICEL SLICE[0,0] SLICE_X8Y4 SLICEL SLICE[1,0] SLICE_X9Y4 |
INT_L INT_L_X6Y4 TIEOFF TIEOFF[0,0] TIEOFF_X6Y4 |
INT_R INT_R_X7Y4 TIEOFF TIEOFF[0,0] TIEOFF_X7Y4 |
CLBLM_R CLBLM_R_X7Y4 SLICEM SLICE[0,0] SLICE_X10Y4 SLICEL SLICE[1,0] SLICE_X11Y4 |
CLBLL_L CLBLL_L_X8Y4 SLICEL SLICE[0,0] SLICE_X12Y4 SLICEL SLICE[1,0] SLICE_X13Y4 |
INT_L INT_L_X8Y4 TIEOFF TIEOFF[0,0] TIEOFF_X8Y4 |
INT_R INT_R_X9Y4 TIEOFF TIEOFF[0,0] TIEOFF_X9Y4 |
CLBLM_R CLBLM_R_X9Y4 SLICEM SLICE[0,0] SLICE_X14Y4 SLICEL SLICE[1,0] SLICE_X15Y4 |
VBRK VBRK_X27Y5 |
CLBLL_L CLBLL_L_X10Y4 SLICEL SLICE[0,0] SLICE_X16Y4 SLICEL SLICE[1,0] SLICE_X17Y4 |
INT_L INT_L_X10Y4 TIEOFF TIEOFF[0,0] TIEOFF_X10Y4 |
INT_R INT_R_X11Y4 TIEOFF TIEOFF[0,0] TIEOFF_X11Y4 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y4 |
VBRK VBRK_X33Y5 |
CLBLM_L CLBLM_L_X12Y4 SLICEM SLICE[0,0] SLICE_X18Y4 SLICEL SLICE[1,0] SLICE_X19Y4 |
INT_L INT_L_X12Y4 TIEOFF TIEOFF[0,0] TIEOFF_X12Y4 |
INT_R INT_R_X13Y4 TIEOFF TIEOFF[0,0] TIEOFF_X13Y4 |
CLBLL_R CLBLL_R_X13Y4 SLICEL SLICE[0,0] SLICE_X20Y4 SLICEL SLICE[1,0] SLICE_X21Y4 |
VBRK VBRK_X38Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y5 |
VFRAME VFRAME_X51Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y4 |
INT_L INT_L_X14Y4 TIEOFF TIEOFF[0,0] TIEOFF_X14Y4 |
INT_R INT_R_X15Y4 TIEOFF TIEOFF[0,0] TIEOFF_X15Y4 |
CLBLM_R CLBLM_R_X15Y4 SLICEM SLICE[0,0] SLICE_X22Y4 SLICEL SLICE[1,0] SLICE_X23Y4 |
VBRK VBRK_X56Y5 |
CLBLM_L CLBLM_L_X16Y4 SLICEM SLICE[0,0] SLICE_X24Y4 SLICEL SLICE[1,0] SLICE_X25Y4 |
INT_L INT_L_X16Y4 TIEOFF TIEOFF[0,0] TIEOFF_X16Y4 |
INT_R INT_R_X17Y4 TIEOFF TIEOFF[0,0] TIEOFF_X17Y4 |
CLBLM_R CLBLM_R_X17Y4 SLICEM SLICE[0,0] SLICE_X26Y4 SLICEL SLICE[1,0] SLICE_X27Y4 |
VBRK VBRK_X61Y5 |
DSP_L DSP_L_X18Y0 TIEOFF TIEOFF[0,0] TIEOFF_X18Y0 DSP48E1 DSP48[0,0] DSP48_X0Y0 DSP48E1 DSP48[0,1] DSP48_X0Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y4 |
INT_L INT_L_X18Y4 TIEOFF TIEOFF[0,0] TIEOFF_X19Y4 |
INT_R INT_R_X19Y4 TIEOFF TIEOFF[0,0] TIEOFF_X20Y4 |
CLBLM_R CLBLM_R_X19Y4 SLICEM SLICE[0,0] SLICE_X28Y4 SLICEL SLICE[1,0] SLICE_X29Y4 |
CLBLM_L CLBLM_L_X20Y4 SLICEM SLICE[0,0] SLICE_X30Y4 SLICEL SLICE[1,0] SLICE_X31Y4 |
INT_L INT_L_X20Y4 TIEOFF TIEOFF[0,0] TIEOFF_X21Y4 |
INT_R INT_R_X21Y4 TIEOFF TIEOFF[0,0] TIEOFF_X22Y4 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y4 |
BRAM_R BRAM_R_X21Y0 FIFO18E1 RAMB18[0,0] RAMB18_X0Y0 RAMB18E1 RAMB18[0,1] RAMB18_X0Y1 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y0 |
VBRK VBRK_X72Y5 |
CLBLL_L CLBLL_L_X22Y4 SLICEL SLICE[0,0] SLICE_X32Y4 SLICEL SLICE[1,0] SLICE_X33Y4 |
INT_L INT_L_X22Y4 TIEOFF TIEOFF[0,0] TIEOFF_X23Y4 |
INT_R INT_R_X23Y4 TIEOFF TIEOFF[0,0] TIEOFF_X24Y4 |
CLBLM_R CLBLM_R_X23Y4 SLICEM SLICE[0,0] SLICE_X34Y4 SLICEL SLICE[1,0] SLICE_X35Y4 |
CLBLL_L CLBLL_L_X24Y4 SLICEL SLICE[0,0] SLICE_X36Y4 SLICEL SLICE[1,0] SLICE_X37Y4 |
INT_L INT_L_X24Y4 TIEOFF TIEOFF[0,0] TIEOFF_X25Y4 |
INT_R INT_R_X25Y4 TIEOFF TIEOFF[0,0] TIEOFF_X26Y4 |
CLBLM_R CLBLM_R_X25Y4 SLICEM SLICE[0,0] SLICE_X38Y4 SLICEL SLICE[1,0] SLICE_X39Y4 |
VBRK VBRK_X81Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y4 |
INT_L INT_L_X26Y4 TIEOFF TIEOFF[0,0] TIEOFF_X27Y4 |
INT_R INT_R_X27Y4 TIEOFF TIEOFF[0,0] TIEOFF_X28Y4 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y4 |
R_TERM_INT R_TERM_INT_X88Y5 |
RIOI3 RIOI3_X27Y3 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y3 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y4 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y3 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y4 IDELAYE2 IDELAY[0,0] IDELAY_X1Y3 IDELAYE2 IDELAY[0,1] IDELAY_X1Y4 |
RIOB33 RIOB33_X27Y3 IOB33S IOB[0,0] IOB_X1Y3 IOB33M IOB[0,1] IOB_X1Y4 |
|||||||
L_TERM_INT L_TERM_INT_X2Y4 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y3 |
INT_L INT_L_X0Y3 TIEOFF TIEOFF[0,0] TIEOFF_X0Y3 |
INT_R INT_R_X1Y3 TIEOFF TIEOFF[0,0] TIEOFF_X1Y3 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y3 |
VBRK VBRK_X9Y4 |
CLBLL_L CLBLL_L_X2Y3 SLICEL SLICE[0,0] SLICE_X0Y3 SLICEL SLICE[1,0] SLICE_X1Y3 |
INT_L INT_L_X2Y3 TIEOFF TIEOFF[0,0] TIEOFF_X2Y3 |
INT_R INT_R_X3Y3 TIEOFF TIEOFF[0,0] TIEOFF_X3Y3 |
CLBLM_R CLBLM_R_X3Y3 SLICEM SLICE[0,0] SLICE_X2Y3 SLICEL SLICE[1,0] SLICE_X3Y3 |
CLBLL_L CLBLL_L_X4Y3 SLICEL SLICE[0,0] SLICE_X4Y3 SLICEL SLICE[1,0] SLICE_X5Y3 |
INT_L INT_L_X4Y3 TIEOFF TIEOFF[0,0] TIEOFF_X4Y3 |
INT_R INT_R_X5Y3 TIEOFF TIEOFF[0,0] TIEOFF_X5Y3 |
CLBLM_R CLBLM_R_X5Y3 SLICEM SLICE[0,0] SLICE_X6Y3 SLICEL SLICE[1,0] SLICE_X7Y3 |
VBRK VBRK_X18Y4 |
CLBLL_L CLBLL_L_X6Y3 SLICEL SLICE[0,0] SLICE_X8Y3 SLICEL SLICE[1,0] SLICE_X9Y3 |
INT_L INT_L_X6Y3 TIEOFF TIEOFF[0,0] TIEOFF_X6Y3 |
INT_R INT_R_X7Y3 TIEOFF TIEOFF[0,0] TIEOFF_X7Y3 |
CLBLM_R CLBLM_R_X7Y3 SLICEM SLICE[0,0] SLICE_X10Y3 SLICEL SLICE[1,0] SLICE_X11Y3 |
CLBLL_L CLBLL_L_X8Y3 SLICEL SLICE[0,0] SLICE_X12Y3 SLICEL SLICE[1,0] SLICE_X13Y3 |
INT_L INT_L_X8Y3 TIEOFF TIEOFF[0,0] TIEOFF_X8Y3 |
INT_R INT_R_X9Y3 TIEOFF TIEOFF[0,0] TIEOFF_X9Y3 |
CLBLM_R CLBLM_R_X9Y3 SLICEM SLICE[0,0] SLICE_X14Y3 SLICEL SLICE[1,0] SLICE_X15Y3 |
VBRK VBRK_X27Y4 |
CLBLL_L CLBLL_L_X10Y3 SLICEL SLICE[0,0] SLICE_X16Y3 SLICEL SLICE[1,0] SLICE_X17Y3 |
INT_L INT_L_X10Y3 TIEOFF TIEOFF[0,0] TIEOFF_X10Y3 |
INT_R INT_R_X11Y3 TIEOFF TIEOFF[0,0] TIEOFF_X11Y3 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y3 |
VBRK VBRK_X33Y4 |
CLBLM_L CLBLM_L_X12Y3 SLICEM SLICE[0,0] SLICE_X18Y3 SLICEL SLICE[1,0] SLICE_X19Y3 |
INT_L INT_L_X12Y3 TIEOFF TIEOFF[0,0] TIEOFF_X12Y3 |
INT_R INT_R_X13Y3 TIEOFF TIEOFF[0,0] TIEOFF_X13Y3 |
CLBLL_R CLBLL_R_X13Y3 SLICEL SLICE[0,0] SLICE_X20Y3 SLICEL SLICE[1,0] SLICE_X21Y3 |
VBRK VBRK_X38Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y4 |
VFRAME VFRAME_X51Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y3 |
INT_L INT_L_X14Y3 TIEOFF TIEOFF[0,0] TIEOFF_X14Y3 |
INT_R INT_R_X15Y3 TIEOFF TIEOFF[0,0] TIEOFF_X15Y3 |
CLBLM_R CLBLM_R_X15Y3 SLICEM SLICE[0,0] SLICE_X22Y3 SLICEL SLICE[1,0] SLICE_X23Y3 |
VBRK VBRK_X56Y4 |
CLBLM_L CLBLM_L_X16Y3 SLICEM SLICE[0,0] SLICE_X24Y3 SLICEL SLICE[1,0] SLICE_X25Y3 |
INT_L INT_L_X16Y3 TIEOFF TIEOFF[0,0] TIEOFF_X16Y3 |
INT_R INT_R_X17Y3 TIEOFF TIEOFF[0,0] TIEOFF_X17Y3 |
CLBLM_R CLBLM_R_X17Y3 SLICEM SLICE[0,0] SLICE_X26Y3 SLICEL SLICE[1,0] SLICE_X27Y3 |
VBRK VBRK_X61Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y3 |
INT_L INT_L_X18Y3 TIEOFF TIEOFF[0,0] TIEOFF_X19Y3 |
INT_R INT_R_X19Y3 TIEOFF TIEOFF[0,0] TIEOFF_X20Y3 |
CLBLM_R CLBLM_R_X19Y3 SLICEM SLICE[0,0] SLICE_X28Y3 SLICEL SLICE[1,0] SLICE_X29Y3 |
CLBLM_L CLBLM_L_X20Y3 SLICEM SLICE[0,0] SLICE_X30Y3 SLICEL SLICE[1,0] SLICE_X31Y3 |
INT_L INT_L_X20Y3 TIEOFF TIEOFF[0,0] TIEOFF_X21Y3 |
INT_R INT_R_X21Y3 TIEOFF TIEOFF[0,0] TIEOFF_X22Y3 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y3 |
VBRK VBRK_X72Y4 |
CLBLL_L CLBLL_L_X22Y3 SLICEL SLICE[0,0] SLICE_X32Y3 SLICEL SLICE[1,0] SLICE_X33Y3 |
INT_L INT_L_X22Y3 TIEOFF TIEOFF[0,0] TIEOFF_X23Y3 |
INT_R INT_R_X23Y3 TIEOFF TIEOFF[0,0] TIEOFF_X24Y3 |
CLBLM_R CLBLM_R_X23Y3 SLICEM SLICE[0,0] SLICE_X34Y3 SLICEL SLICE[1,0] SLICE_X35Y3 |
CLBLL_L CLBLL_L_X24Y3 SLICEL SLICE[0,0] SLICE_X36Y3 SLICEL SLICE[1,0] SLICE_X37Y3 |
INT_L INT_L_X24Y3 TIEOFF TIEOFF[0,0] TIEOFF_X25Y3 |
INT_R INT_R_X25Y3 TIEOFF TIEOFF[0,0] TIEOFF_X26Y3 |
CLBLM_R CLBLM_R_X25Y3 SLICEM SLICE[0,0] SLICE_X38Y3 SLICEL SLICE[1,0] SLICE_X39Y3 |
VBRK VBRK_X81Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y3 |
INT_L INT_L_X26Y3 TIEOFF TIEOFF[0,0] TIEOFF_X27Y3 |
INT_R INT_R_X27Y3 TIEOFF TIEOFF[0,0] TIEOFF_X28Y3 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y3 |
R_TERM_INT R_TERM_INT_X88Y4 |
|||||||||||||
LIOB33 LIOB33_X0Y1 IOB33S IOB[0,0] IOB_X0Y1 IOB33M IOB[0,1] IOB_X0Y2 |
LIOI3 LIOI3_X0Y1 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y1 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y2 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y1 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y2 IDELAYE2 IDELAY[0,0] IDELAY_X0Y1 IDELAYE2 IDELAY[0,1] IDELAY_X0Y2 |
L_TERM_INT L_TERM_INT_X2Y3 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y2 |
INT_L INT_L_X0Y2 TIEOFF TIEOFF[0,0] TIEOFF_X0Y2 |
INT_R INT_R_X1Y2 TIEOFF TIEOFF[0,0] TIEOFF_X1Y2 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y2 |
VBRK VBRK_X9Y3 |
CLBLL_L CLBLL_L_X2Y2 SLICEL SLICE[0,0] SLICE_X0Y2 SLICEL SLICE[1,0] SLICE_X1Y2 |
INT_L INT_L_X2Y2 TIEOFF TIEOFF[0,0] TIEOFF_X2Y2 |
INT_R INT_R_X3Y2 TIEOFF TIEOFF[0,0] TIEOFF_X3Y2 |
CLBLM_R CLBLM_R_X3Y2 SLICEM SLICE[0,0] SLICE_X2Y2 SLICEL SLICE[1,0] SLICE_X3Y2 |
CLBLL_L CLBLL_L_X4Y2 SLICEL SLICE[0,0] SLICE_X4Y2 SLICEL SLICE[1,0] SLICE_X5Y2 |
INT_L INT_L_X4Y2 TIEOFF TIEOFF[0,0] TIEOFF_X4Y2 |
INT_R INT_R_X5Y2 TIEOFF TIEOFF[0,0] TIEOFF_X5Y2 |
CLBLM_R CLBLM_R_X5Y2 SLICEM SLICE[0,0] SLICE_X6Y2 SLICEL SLICE[1,0] SLICE_X7Y2 |
VBRK VBRK_X18Y3 |
CLBLL_L CLBLL_L_X6Y2 SLICEL SLICE[0,0] SLICE_X8Y2 SLICEL SLICE[1,0] SLICE_X9Y2 |
INT_L INT_L_X6Y2 TIEOFF TIEOFF[0,0] TIEOFF_X6Y2 |
INT_R INT_R_X7Y2 TIEOFF TIEOFF[0,0] TIEOFF_X7Y2 |
CLBLM_R CLBLM_R_X7Y2 SLICEM SLICE[0,0] SLICE_X10Y2 SLICEL SLICE[1,0] SLICE_X11Y2 |
CLBLL_L CLBLL_L_X8Y2 SLICEL SLICE[0,0] SLICE_X12Y2 SLICEL SLICE[1,0] SLICE_X13Y2 |
INT_L INT_L_X8Y2 TIEOFF TIEOFF[0,0] TIEOFF_X8Y2 |
INT_R INT_R_X9Y2 TIEOFF TIEOFF[0,0] TIEOFF_X9Y2 |
CLBLM_R CLBLM_R_X9Y2 SLICEM SLICE[0,0] SLICE_X14Y2 SLICEL SLICE[1,0] SLICE_X15Y2 |
VBRK VBRK_X27Y3 |
CLBLL_L CLBLL_L_X10Y2 SLICEL SLICE[0,0] SLICE_X16Y2 SLICEL SLICE[1,0] SLICE_X17Y2 |
INT_L INT_L_X10Y2 TIEOFF TIEOFF[0,0] TIEOFF_X10Y2 |
INT_R INT_R_X11Y2 TIEOFF TIEOFF[0,0] TIEOFF_X11Y2 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y2 |
VBRK VBRK_X33Y3 |
CLBLM_L CLBLM_L_X12Y2 SLICEM SLICE[0,0] SLICE_X18Y2 SLICEL SLICE[1,0] SLICE_X19Y2 |
INT_L INT_L_X12Y2 TIEOFF TIEOFF[0,0] TIEOFF_X12Y2 |
INT_R INT_R_X13Y2 TIEOFF TIEOFF[0,0] TIEOFF_X13Y2 |
CLBLL_R CLBLL_R_X13Y2 SLICEL SLICE[0,0] SLICE_X20Y2 SLICEL SLICE[1,0] SLICE_X21Y2 |
VBRK VBRK_X38Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y3 |
VFRAME VFRAME_X51Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y2 |
INT_L INT_L_X14Y2 TIEOFF TIEOFF[0,0] TIEOFF_X14Y2 |
INT_R INT_R_X15Y2 TIEOFF TIEOFF[0,0] TIEOFF_X15Y2 |
CLBLM_R CLBLM_R_X15Y2 SLICEM SLICE[0,0] SLICE_X22Y2 SLICEL SLICE[1,0] SLICE_X23Y2 |
VBRK VBRK_X56Y3 |
CLBLM_L CLBLM_L_X16Y2 SLICEM SLICE[0,0] SLICE_X24Y2 SLICEL SLICE[1,0] SLICE_X25Y2 |
INT_L INT_L_X16Y2 TIEOFF TIEOFF[0,0] TIEOFF_X16Y2 |
INT_R INT_R_X17Y2 TIEOFF TIEOFF[0,0] TIEOFF_X17Y2 |
CLBLM_R CLBLM_R_X17Y2 SLICEM SLICE[0,0] SLICE_X26Y2 SLICEL SLICE[1,0] SLICE_X27Y2 |
VBRK VBRK_X61Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y2 |
INT_L INT_L_X18Y2 TIEOFF TIEOFF[0,0] TIEOFF_X19Y2 |
INT_R INT_R_X19Y2 TIEOFF TIEOFF[0,0] TIEOFF_X20Y2 |
CLBLM_R CLBLM_R_X19Y2 SLICEM SLICE[0,0] SLICE_X28Y2 SLICEL SLICE[1,0] SLICE_X29Y2 |
CLBLM_L CLBLM_L_X20Y2 SLICEM SLICE[0,0] SLICE_X30Y2 SLICEL SLICE[1,0] SLICE_X31Y2 |
INT_L INT_L_X20Y2 TIEOFF TIEOFF[0,0] TIEOFF_X21Y2 |
INT_R INT_R_X21Y2 TIEOFF TIEOFF[0,0] TIEOFF_X22Y2 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y2 |
VBRK VBRK_X72Y3 |
CLBLL_L CLBLL_L_X22Y2 SLICEL SLICE[0,0] SLICE_X32Y2 SLICEL SLICE[1,0] SLICE_X33Y2 |
INT_L INT_L_X22Y2 TIEOFF TIEOFF[0,0] TIEOFF_X23Y2 |
INT_R INT_R_X23Y2 TIEOFF TIEOFF[0,0] TIEOFF_X24Y2 |
CLBLM_R CLBLM_R_X23Y2 SLICEM SLICE[0,0] SLICE_X34Y2 SLICEL SLICE[1,0] SLICE_X35Y2 |
CLBLL_L CLBLL_L_X24Y2 SLICEL SLICE[0,0] SLICE_X36Y2 SLICEL SLICE[1,0] SLICE_X37Y2 |
INT_L INT_L_X24Y2 TIEOFF TIEOFF[0,0] TIEOFF_X25Y2 |
INT_R INT_R_X25Y2 TIEOFF TIEOFF[0,0] TIEOFF_X26Y2 |
CLBLM_R CLBLM_R_X25Y2 SLICEM SLICE[0,0] SLICE_X38Y2 SLICEL SLICE[1,0] SLICE_X39Y2 |
VBRK VBRK_X81Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y2 |
INT_L INT_L_X26Y2 TIEOFF TIEOFF[0,0] TIEOFF_X27Y2 |
INT_R INT_R_X27Y2 TIEOFF TIEOFF[0,0] TIEOFF_X28Y2 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y2 |
R_TERM_INT R_TERM_INT_X88Y3 |
RIOI3 RIOI3_X27Y1 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y1 OLOGICE3 OLOGIC[0,1] OLOGIC_X1Y2 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y1 ILOGICE3 ILOGIC[0,1] ILOGIC_X1Y2 IDELAYE2 IDELAY[0,0] IDELAY_X1Y1 IDELAYE2 IDELAY[0,1] IDELAY_X1Y2 |
RIOB33 RIOB33_X27Y1 IOB33S IOB[0,0] IOB_X1Y1 IOB33M IOB[0,1] IOB_X1Y2 |
|||||||||
L_TERM_INT L_TERM_INT_X2Y2 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y1 |
INT_L INT_L_X0Y1 TIEOFF TIEOFF[0,0] TIEOFF_X0Y1 |
INT_R INT_R_X1Y1 TIEOFF TIEOFF[0,0] TIEOFF_X1Y1 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y1 |
VBRK VBRK_X9Y2 |
CLBLL_L CLBLL_L_X2Y1 SLICEL SLICE[0,0] SLICE_X0Y1 SLICEL SLICE[1,0] SLICE_X1Y1 |
INT_L INT_L_X2Y1 TIEOFF TIEOFF[0,0] TIEOFF_X2Y1 |
INT_R INT_R_X3Y1 TIEOFF TIEOFF[0,0] TIEOFF_X3Y1 |
CLBLM_R CLBLM_R_X3Y1 SLICEM SLICE[0,0] SLICE_X2Y1 SLICEL SLICE[1,0] SLICE_X3Y1 |
CLBLL_L CLBLL_L_X4Y1 SLICEL SLICE[0,0] SLICE_X4Y1 SLICEL SLICE[1,0] SLICE_X5Y1 |
INT_L INT_L_X4Y1 TIEOFF TIEOFF[0,0] TIEOFF_X4Y1 |
INT_R INT_R_X5Y1 TIEOFF TIEOFF[0,0] TIEOFF_X5Y1 |
CLBLM_R CLBLM_R_X5Y1 SLICEM SLICE[0,0] SLICE_X6Y1 SLICEL SLICE[1,0] SLICE_X7Y1 |
VBRK VBRK_X18Y2 |
CLBLL_L CLBLL_L_X6Y1 SLICEL SLICE[0,0] SLICE_X8Y1 SLICEL SLICE[1,0] SLICE_X9Y1 |
INT_L INT_L_X6Y1 TIEOFF TIEOFF[0,0] TIEOFF_X6Y1 |
INT_R INT_R_X7Y1 TIEOFF TIEOFF[0,0] TIEOFF_X7Y1 |
CLBLM_R CLBLM_R_X7Y1 SLICEM SLICE[0,0] SLICE_X10Y1 SLICEL SLICE[1,0] SLICE_X11Y1 |
CLBLL_L CLBLL_L_X8Y1 SLICEL SLICE[0,0] SLICE_X12Y1 SLICEL SLICE[1,0] SLICE_X13Y1 |
INT_L INT_L_X8Y1 TIEOFF TIEOFF[0,0] TIEOFF_X8Y1 |
INT_R INT_R_X9Y1 TIEOFF TIEOFF[0,0] TIEOFF_X9Y1 |
CLBLM_R CLBLM_R_X9Y1 SLICEM SLICE[0,0] SLICE_X14Y1 SLICEL SLICE[1,0] SLICE_X15Y1 |
VBRK VBRK_X27Y2 |
CLBLL_L CLBLL_L_X10Y1 SLICEL SLICE[0,0] SLICE_X16Y1 SLICEL SLICE[1,0] SLICE_X17Y1 |
INT_L INT_L_X10Y1 TIEOFF TIEOFF[0,0] TIEOFF_X10Y1 |
INT_R INT_R_X11Y1 TIEOFF TIEOFF[0,0] TIEOFF_X11Y1 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y1 |
VBRK VBRK_X33Y2 |
CLBLM_L CLBLM_L_X12Y1 SLICEM SLICE[0,0] SLICE_X18Y1 SLICEL SLICE[1,0] SLICE_X19Y1 |
INT_L INT_L_X12Y1 TIEOFF TIEOFF[0,0] TIEOFF_X12Y1 |
INT_R INT_R_X13Y1 TIEOFF TIEOFF[0,0] TIEOFF_X13Y1 |
CLBLL_R CLBLL_R_X13Y1 SLICEL SLICE[0,0] SLICE_X20Y1 SLICEL SLICE[1,0] SLICE_X21Y1 |
VBRK VBRK_X38Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y2 |
VFRAME VFRAME_X51Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y1 |
INT_L INT_L_X14Y1 TIEOFF TIEOFF[0,0] TIEOFF_X14Y1 |
INT_R INT_R_X15Y1 TIEOFF TIEOFF[0,0] TIEOFF_X15Y1 |
CLBLM_R CLBLM_R_X15Y1 SLICEM SLICE[0,0] SLICE_X22Y1 SLICEL SLICE[1,0] SLICE_X23Y1 |
VBRK VBRK_X56Y2 |
CLBLM_L CLBLM_L_X16Y1 SLICEM SLICE[0,0] SLICE_X24Y1 SLICEL SLICE[1,0] SLICE_X25Y1 |
INT_L INT_L_X16Y1 TIEOFF TIEOFF[0,0] TIEOFF_X16Y1 |
INT_R INT_R_X17Y1 TIEOFF TIEOFF[0,0] TIEOFF_X17Y1 |
CLBLM_R CLBLM_R_X17Y1 SLICEM SLICE[0,0] SLICE_X26Y1 SLICEL SLICE[1,0] SLICE_X27Y1 |
VBRK VBRK_X61Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y1 |
INT_L INT_L_X18Y1 TIEOFF TIEOFF[0,0] TIEOFF_X19Y1 |
INT_R INT_R_X19Y1 TIEOFF TIEOFF[0,0] TIEOFF_X20Y1 |
CLBLM_R CLBLM_R_X19Y1 SLICEM SLICE[0,0] SLICE_X28Y1 SLICEL SLICE[1,0] SLICE_X29Y1 |
CLBLM_L CLBLM_L_X20Y1 SLICEM SLICE[0,0] SLICE_X30Y1 SLICEL SLICE[1,0] SLICE_X31Y1 |
INT_L INT_L_X20Y1 TIEOFF TIEOFF[0,0] TIEOFF_X21Y1 |
INT_R INT_R_X21Y1 TIEOFF TIEOFF[0,0] TIEOFF_X22Y1 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y1 |
VBRK VBRK_X72Y2 |
CLBLL_L CLBLL_L_X22Y1 SLICEL SLICE[0,0] SLICE_X32Y1 SLICEL SLICE[1,0] SLICE_X33Y1 |
INT_L INT_L_X22Y1 TIEOFF TIEOFF[0,0] TIEOFF_X23Y1 |
INT_R INT_R_X23Y1 TIEOFF TIEOFF[0,0] TIEOFF_X24Y1 |
CLBLM_R CLBLM_R_X23Y1 SLICEM SLICE[0,0] SLICE_X34Y1 SLICEL SLICE[1,0] SLICE_X35Y1 |
CLBLL_L CLBLL_L_X24Y1 SLICEL SLICE[0,0] SLICE_X36Y1 SLICEL SLICE[1,0] SLICE_X37Y1 |
INT_L INT_L_X24Y1 TIEOFF TIEOFF[0,0] TIEOFF_X25Y1 |
INT_R INT_R_X25Y1 TIEOFF TIEOFF[0,0] TIEOFF_X26Y1 |
CLBLM_R CLBLM_R_X25Y1 SLICEM SLICE[0,0] SLICE_X38Y1 SLICEL SLICE[1,0] SLICE_X39Y1 |
VBRK VBRK_X81Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y1 |
INT_L INT_L_X26Y1 TIEOFF TIEOFF[0,0] TIEOFF_X27Y1 |
INT_R INT_R_X27Y1 TIEOFF TIEOFF[0,0] TIEOFF_X28Y1 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y1 |
R_TERM_INT R_TERM_INT_X88Y2 |
|||||||||||||
LIOB33_SING LIOB33_SING_X0Y0 IOB33 IOB[0,0] IOB_X0Y0 |
LIOI3_SING LIOI3_SING_X0Y0 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y0 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y0 IDELAYE2 IDELAY[0,0] IDELAY_X0Y0 |
L_TERM_INT L_TERM_INT_X2Y1 |
IO_INT_INTERFACE_L IO_INT_INTERFACE_L_X0Y0 |
INT_L INT_L_X0Y0 TIEOFF TIEOFF[0,0] TIEOFF_X0Y0 |
INT_R INT_R_X1Y0 TIEOFF TIEOFF[0,0] TIEOFF_X1Y0 |
INT_INTERFACE_R INT_INTERFACE_R_X1Y0 |
CMT_PMV CMT_PMV_X7Y1 |
VBRK VBRK_X9Y1 |
CLBLL_L CLBLL_L_X2Y0 SLICEL SLICE[0,0] SLICE_X0Y0 SLICEL SLICE[1,0] SLICE_X1Y0 |
INT_L INT_L_X2Y0 TIEOFF TIEOFF[0,0] TIEOFF_X2Y0 |
INT_R INT_R_X3Y0 TIEOFF TIEOFF[0,0] TIEOFF_X3Y0 |
CLBLM_R CLBLM_R_X3Y0 SLICEM SLICE[0,0] SLICE_X2Y0 SLICEL SLICE[1,0] SLICE_X3Y0 |
CLBLL_L CLBLL_L_X4Y0 SLICEL SLICE[0,0] SLICE_X4Y0 SLICEL SLICE[1,0] SLICE_X5Y0 |
INT_L INT_L_X4Y0 TIEOFF TIEOFF[0,0] TIEOFF_X4Y0 |
INT_R INT_R_X5Y0 TIEOFF TIEOFF[0,0] TIEOFF_X5Y0 |
CLBLM_R CLBLM_R_X5Y0 SLICEM SLICE[0,0] SLICE_X6Y0 SLICEL SLICE[1,0] SLICE_X7Y0 |
VBRK VBRK_X18Y1 |
CLBLL_L CLBLL_L_X6Y0 SLICEL SLICE[0,0] SLICE_X8Y0 SLICEL SLICE[1,0] SLICE_X9Y0 |
INT_L INT_L_X6Y0 TIEOFF TIEOFF[0,0] TIEOFF_X6Y0 |
INT_R INT_R_X7Y0 TIEOFF TIEOFF[0,0] TIEOFF_X7Y0 |
CLBLM_R CLBLM_R_X7Y0 SLICEM SLICE[0,0] SLICE_X10Y0 SLICEL SLICE[1,0] SLICE_X11Y0 |
CLBLL_L CLBLL_L_X8Y0 SLICEL SLICE[0,0] SLICE_X12Y0 SLICEL SLICE[1,0] SLICE_X13Y0 |
INT_L INT_L_X8Y0 TIEOFF TIEOFF[0,0] TIEOFF_X8Y0 |
INT_R INT_R_X9Y0 TIEOFF TIEOFF[0,0] TIEOFF_X9Y0 |
CLBLM_R CLBLM_R_X9Y0 SLICEM SLICE[0,0] SLICE_X14Y0 SLICEL SLICE[1,0] SLICE_X15Y0 |
VBRK VBRK_X27Y1 |
CLBLL_L CLBLL_L_X10Y0 SLICEL SLICE[0,0] SLICE_X16Y0 SLICEL SLICE[1,0] SLICE_X17Y0 |
INT_L INT_L_X10Y0 TIEOFF TIEOFF[0,0] TIEOFF_X10Y0 |
INT_R INT_R_X11Y0 TIEOFF TIEOFF[0,0] TIEOFF_X11Y0 |
INT_INTERFACE_R INT_INTERFACE_R_X11Y0 |
VBRK VBRK_X33Y1 |
CLBLM_L CLBLM_L_X12Y0 SLICEM SLICE[0,0] SLICE_X18Y0 SLICEL SLICE[1,0] SLICE_X19Y0 |
INT_L INT_L_X12Y0 TIEOFF TIEOFF[0,0] TIEOFF_X12Y0 |
INT_R INT_R_X13Y0 TIEOFF TIEOFF[0,0] TIEOFF_X13Y0 |
CLBLL_R CLBLL_R_X13Y0 SLICEL SLICE[0,0] SLICE_X20Y0 SLICEL SLICE[1,0] SLICE_X21Y0 |
VBRK VBRK_X38Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X39Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X40Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X41Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X42Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X43Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X44Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X45Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X46Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X47Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X48Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X49Y1 |
VFRAME VFRAME_X51Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X14Y0 |
INT_L INT_L_X14Y0 TIEOFF TIEOFF[0,0] TIEOFF_X14Y0 |
INT_R INT_R_X15Y0 TIEOFF TIEOFF[0,0] TIEOFF_X15Y0 |
CLBLM_R CLBLM_R_X15Y0 SLICEM SLICE[0,0] SLICE_X22Y0 SLICEL SLICE[1,0] SLICE_X23Y0 |
VBRK VBRK_X56Y1 |
CLBLM_L CLBLM_L_X16Y0 SLICEM SLICE[0,0] SLICE_X24Y0 SLICEL SLICE[1,0] SLICE_X25Y0 |
INT_L INT_L_X16Y0 TIEOFF TIEOFF[0,0] TIEOFF_X16Y0 |
INT_R INT_R_X17Y0 TIEOFF TIEOFF[0,0] TIEOFF_X17Y0 |
CLBLM_R CLBLM_R_X17Y0 SLICEM SLICE[0,0] SLICE_X26Y0 SLICEL SLICE[1,0] SLICE_X27Y0 |
VBRK VBRK_X61Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X18Y0 |
INT_L INT_L_X18Y0 TIEOFF TIEOFF[0,0] TIEOFF_X19Y0 |
INT_R INT_R_X19Y0 TIEOFF TIEOFF[0,0] TIEOFF_X20Y0 |
CLBLM_R CLBLM_R_X19Y0 SLICEM SLICE[0,0] SLICE_X28Y0 SLICEL SLICE[1,0] SLICE_X29Y0 |
CLBLM_L CLBLM_L_X20Y0 SLICEM SLICE[0,0] SLICE_X30Y0 SLICEL SLICE[1,0] SLICE_X31Y0 |
INT_L INT_L_X20Y0 TIEOFF TIEOFF[0,0] TIEOFF_X21Y0 |
INT_R INT_R_X21Y0 TIEOFF TIEOFF[0,0] TIEOFF_X22Y0 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X21Y0 |
VBRK VBRK_X72Y1 |
CLBLL_L CLBLL_L_X22Y0 SLICEL SLICE[0,0] SLICE_X32Y0 SLICEL SLICE[1,0] SLICE_X33Y0 |
INT_L INT_L_X22Y0 TIEOFF TIEOFF[0,0] TIEOFF_X23Y0 |
INT_R INT_R_X23Y0 TIEOFF TIEOFF[0,0] TIEOFF_X24Y0 |
CLBLM_R CLBLM_R_X23Y0 SLICEM SLICE[0,0] SLICE_X34Y0 SLICEL SLICE[1,0] SLICE_X35Y0 |
CLBLL_L CLBLL_L_X24Y0 SLICEL SLICE[0,0] SLICE_X36Y0 SLICEL SLICE[1,0] SLICE_X37Y0 |
INT_L INT_L_X24Y0 TIEOFF TIEOFF[0,0] TIEOFF_X25Y0 |
INT_R INT_R_X25Y0 TIEOFF TIEOFF[0,0] TIEOFF_X26Y0 |
CLBLM_R CLBLM_R_X25Y0 SLICEM SLICE[0,0] SLICE_X38Y0 SLICEL SLICE[1,0] SLICE_X39Y0 |
VBRK VBRK_X81Y1 |
CMT_PMV_L CMT_PMV_L_X83Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X26Y0 |
INT_L INT_L_X26Y0 TIEOFF TIEOFF[0,0] TIEOFF_X27Y0 |
INT_R INT_R_X27Y0 TIEOFF TIEOFF[0,0] TIEOFF_X28Y0 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X27Y0 |
R_TERM_INT R_TERM_INT_X88Y1 |
RIOI3_SING RIOI3_SING_X27Y0 OLOGICE3 OLOGIC[0,0] OLOGIC_X1Y0 ILOGICE3 ILOGIC[0,0] ILOGIC_X1Y0 IDELAYE2 IDELAY[0,0] IDELAY_X1Y0 |
RIOB33_SING RIOB33_SING_X27Y0 IOB33 IOB[0,0] IOB_X1Y0 |
|||||||
NULL NULL_X0Y0 |
NULL NULL_X1Y0 |
NULL NULL_X2Y0 |
NULL NULL_X3Y0 |
B_TERM_INT B_TERM_INT_X4Y0 |
B_TERM_INT B_TERM_INT_X5Y0 |
NULL NULL_X6Y0 |
NULL NULL_X7Y0 |
TERM_CMT TERM_CMT_X8Y0 |
NULL NULL_X9Y0 |
NULL NULL_X10Y0 |
B_TERM_INT B_TERM_INT_X11Y0 |
B_TERM_INT B_TERM_INT_X12Y0 |
NULL NULL_X13Y0 |
NULL NULL_X14Y0 |
B_TERM_INT B_TERM_INT_X15Y0 |
B_TERM_INT B_TERM_INT_X16Y0 |
NULL NULL_X17Y0 |
NULL NULL_X18Y0 |
NULL NULL_X19Y0 |
B_TERM_INT B_TERM_INT_X20Y0 |
B_TERM_INT B_TERM_INT_X21Y0 |
NULL NULL_X22Y0 |
NULL NULL_X23Y0 |
B_TERM_INT B_TERM_INT_X24Y0 |
B_TERM_INT B_TERM_INT_X25Y0 |
NULL NULL_X26Y0 |
NULL NULL_X27Y0 |
NULL NULL_X28Y0 |
B_TERM_INT B_TERM_INT_X29Y0 |
B_TERM_INT B_TERM_INT_X30Y0 |
NULL NULL_X31Y0 |
CLK_TERM CLK_TERM_X32Y0 |
NULL NULL_X33Y0 |
NULL NULL_X34Y0 |
B_TERM_INT B_TERM_INT_X35Y0 |
B_TERM_INT B_TERM_INT_X36Y0 |
NULL NULL_X37Y0 |
NULL NULL_X38Y0 |
NULL NULL_X39Y0 |
NULL NULL_X40Y0 |
NULL NULL_X41Y0 |
NULL NULL_X42Y0 |
NULL NULL_X43Y0 |
NULL NULL_X44Y0 |
NULL NULL_X45Y0 |
NULL NULL_X46Y0 |
NULL NULL_X47Y0 |
NULL NULL_X48Y0 |
NULL NULL_X49Y0 |
NULL NULL_X50Y0 |
NULL NULL_X51Y0 |
NULL NULL_X52Y0 |
B_TERM_INT B_TERM_INT_X53Y0 |
B_TERM_INT B_TERM_INT_X54Y0 |
NULL NULL_X55Y0 |
NULL NULL_X56Y0 |
NULL NULL_X57Y0 |
B_TERM_INT B_TERM_INT_X58Y0 |
B_TERM_INT B_TERM_INT_X59Y0 |
NULL NULL_X60Y0 |
NULL NULL_X61Y0 |
NULL NULL_X62Y0 |
NULL NULL_X63Y0 |
B_TERM_INT B_TERM_INT_X64Y0 |
B_TERM_INT B_TERM_INT_X65Y0 |
NULL NULL_X66Y0 |
NULL NULL_X67Y0 |
B_TERM_INT B_TERM_INT_X68Y0 |
B_TERM_INT B_TERM_INT_X69Y0 |
NULL NULL_X70Y0 |
NULL NULL_X71Y0 |
NULL NULL_X72Y0 |
NULL NULL_X73Y0 |
B_TERM_INT B_TERM_INT_X74Y0 |
B_TERM_INT B_TERM_INT_X75Y0 |
NULL NULL_X76Y0 |
NULL NULL_X77Y0 |
B_TERM_INT B_TERM_INT_X78Y0 |
B_TERM_INT B_TERM_INT_X79Y0 |
NULL NULL_X80Y0 |
NULL NULL_X81Y0 |
TERM_CMT TERM_CMT_X82Y0 |
NULL NULL_X83Y0 |
NULL NULL_X84Y0 |
B_TERM_INT B_TERM_INT_X85Y0 |
B_TERM_INT B_TERM_INT_X86Y0 |
NULL NULL_X87Y0 |
NULL NULL_X88Y0 |
NULL NULL_X89Y0 |
NULL NULL_X90Y0 |
|