NULL NULL_X0Y104 |
B_TERM_VBRK B_TERM_VBRK_X1Y104 |
B_TERM_INT_PSS B_TERM_INT_PSS_X2Y104 |
BRKH_INT BRKH_INT_X3Y104 |
BRKH_CLB BRKH_CLB_X4Y104 |
BRKH_CLB BRKH_CLB_X5Y104 |
BRKH_INT BRKH_INT_X6Y104 |
BRKH_INT BRKH_INT_X7Y104 |
NULL NULL_X8Y104 |
BRKH_DSP_R BRKH_DSP_R_X9Y104 |
NULL NULL_X10Y104 |
BRKH_CLB BRKH_CLB_X11Y104 |
BRKH_INT BRKH_INT_X12Y104 |
BRKH_INT BRKH_INT_X13Y104 |
BRKH_CLB BRKH_CLB_X14Y104 |
BRKH_CLB BRKH_CLB_X15Y104 |
BRKH_INT BRKH_INT_X16Y104 |
BRKH_INT BRKH_INT_X17Y104 |
BRKH_CLB BRKH_CLB_X18Y104 |
NULL NULL_X19Y104 |
BRKH_DSP_L BRKH_DSP_L_X20Y104 |
NULL NULL_X21Y104 |
BRKH_INT BRKH_INT_X22Y104 |
BRKH_INT BRKH_INT_X23Y104 |
BRKH_CLB BRKH_CLB_X24Y104 |
BRKH_CLB BRKH_CLB_X25Y104 |
BRKH_INT BRKH_INT_X26Y104 |
BRKH_INT BRKH_INT_X27Y104 |
NULL NULL_X28Y104 |
BRKH_BRAM BRKH_BRAM_X29Y104 |
NULL NULL_X30Y104 |
BRKH_CLB BRKH_CLB_X31Y104 |
T_TERM_INT T_TERM_INT_X32Y104 |
T_TERM_INT T_TERM_INT_X33Y104 |
NULL NULL_X34Y104 |
NULL NULL_X35Y104 |
T_TERM_INT T_TERM_INT_X36Y104 |
T_TERM_INT T_TERM_INT_X37Y104 |
NULL NULL_X38Y104 |
NULL NULL_X39Y104 |
NULL NULL_X40Y104 |
NULL NULL_X41Y104 |
T_TERM_INT T_TERM_INT_X42Y104 |
T_TERM_INT T_TERM_INT_X43Y104 |
NULL NULL_X44Y104 |
NULL NULL_X45Y104 |
T_TERM_INT T_TERM_INT_X46Y104 |
T_TERM_INT T_TERM_INT_X47Y104 |
NULL NULL_X48Y104 |
NULL NULL_X49Y104 |
NULL NULL_X50Y104 |
NULL NULL_X51Y104 |
T_TERM_INT T_TERM_INT_X52Y104 |
T_TERM_INT T_TERM_INT_X53Y104 |
NULL NULL_X54Y104 |
NULL NULL_X55Y104 |
NULL NULL_X56Y104 |
NULL NULL_X57Y104 |
NULL NULL_X58Y104 |
NULL NULL_X59Y104 |
NULL NULL_X60Y104 |
NULL NULL_X61Y104 |
NULL NULL_X62Y104 |
NULL NULL_X63Y104 |
NULL NULL_X64Y104 |
NULL NULL_X65Y104 |
NULL NULL_X66Y104 |
NULL NULL_X67Y104 |
NULL NULL_X68Y104 |
NULL NULL_X69Y104 |
T_TERM_INT T_TERM_INT_X70Y104 |
T_TERM_INT T_TERM_INT_X71Y104 |
NULL NULL_X72Y104 |
NULL NULL_X73Y104 |
T_TERM_INT T_TERM_INT_X74Y104 |
T_TERM_INT T_TERM_INT_X75Y104 |
NULL NULL_X76Y104 |
NULL NULL_X77Y104 |
NULL NULL_X78Y104 |
T_TERM_INT T_TERM_INT_X79Y104 |
T_TERM_INT T_TERM_INT_X80Y104 |
NULL NULL_X81Y104 |
CLK_TERM CLK_TERM_X82Y104 |
NULL NULL_X83Y104 |
NULL NULL_X84Y104 |
T_TERM_INT T_TERM_INT_X85Y104 |
T_TERM_INT T_TERM_INT_X86Y104 |
NULL NULL_X87Y104 |
NULL NULL_X88Y104 |
NULL NULL_X89Y104 |
NULL NULL_X90Y104 |
T_TERM_INT T_TERM_INT_X91Y104 |
T_TERM_INT T_TERM_INT_X92Y104 |
NULL NULL_X93Y104 |
NULL NULL_X94Y104 |
T_TERM_INT T_TERM_INT_X95Y104 |
T_TERM_INT T_TERM_INT_X96Y104 |
NULL NULL_X97Y104 |
NULL NULL_X98Y104 |
NULL NULL_X99Y104 |
NULL NULL_X100Y104 |
T_TERM_INT T_TERM_INT_X101Y104 |
T_TERM_INT T_TERM_INT_X102Y104 |
NULL NULL_X103Y104 |
NULL NULL_X104Y104 |
T_TERM_INT T_TERM_INT_X105Y104 |
T_TERM_INT T_TERM_INT_X106Y104 |
NULL NULL_X107Y104 |
NULL NULL_X108Y104 |
NULL NULL_X109Y104 |
NULL NULL_X110Y104 |
T_TERM_INT T_TERM_INT_X111Y104 |
T_TERM_INT T_TERM_INT_X112Y104 |
NULL NULL_X113Y104 |
NULL NULL_X114Y104 |
T_TERM_INT T_TERM_INT_X115Y104 |
T_TERM_INT T_TERM_INT_X116Y104 |
NULL NULL_X117Y104 |
NULL NULL_X118Y104 |
TERM_CMT TERM_CMT_X119Y104 |
NULL NULL_X120Y104 |
NULL NULL_X121Y104 |
T_TERM_INT T_TERM_INT_X122Y104 |
T_TERM_INT T_TERM_INT_X123Y104 |
NULL NULL_X124Y104 |
NULL NULL_X125Y104 |
NULL NULL_X126Y104 |
NULL NULL_X127Y104 |
|
PSS4 PSS4_X13Y94 |
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y99 |
INT_L INT_L_X0Y99 TIEOFF TIEOFF[0,0] TIEOFF_X0Y99 |
INT_R INT_R_X1Y99 TIEOFF TIEOFF[0,0] TIEOFF_X1Y99 |
CLBLM_R CLBLM_R_X1Y99 SLICEM SLICE[0,0] SLICE_X0Y99 SLICEL SLICE[1,0] SLICE_X1Y99 |
CLBLL_L CLBLL_L_X2Y99 SLICEL SLICE[0,0] SLICE_X2Y99 SLICEL SLICE[1,0] SLICE_X3Y99 |
INT_L INT_L_X2Y99 TIEOFF TIEOFF[0,0] TIEOFF_X2Y99 |
INT_R INT_R_X3Y99 TIEOFF TIEOFF[0,0] TIEOFF_X3Y99 |
CLBLM_R CLBLM_R_X3Y99 SLICEM SLICE[0,0] SLICE_X4Y99 SLICEL SLICE[1,0] SLICE_X5Y99 |
VBRK VBRK_X39Y103 |
BRAM_L BRAM_L_X4Y95 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y19 FIFO18E1 RAMB18[0,0] RAMB18_X0Y38 RAMB18E1 RAMB18[0,1] RAMB18_X0Y39 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y99 |
INT_L INT_L_X4Y99 TIEOFF TIEOFF[0,0] TIEOFF_X4Y99 |
INT_R INT_R_X5Y99 TIEOFF TIEOFF[0,0] TIEOFF_X5Y99 |
CLBLM_R CLBLM_R_X5Y99 SLICEM SLICE[0,0] SLICE_X6Y99 SLICEL SLICE[1,0] SLICE_X7Y99 |
CLBLM_L CLBLM_L_X6Y99 SLICEM SLICE[0,0] SLICE_X8Y99 SLICEL SLICE[1,0] SLICE_X9Y99 |
INT_L INT_L_X6Y99 TIEOFF TIEOFF[0,0] TIEOFF_X6Y99 |
INT_R INT_R_X7Y99 TIEOFF TIEOFF[0,0] TIEOFF_X7Y99 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y99 |
DSP_R DSP_R_X7Y95 TIEOFF TIEOFF[0,0] TIEOFF_X8Y95 DSP48E1 DSP48[0,0] DSP48_X0Y38 DSP48E1 DSP48[0,1] DSP48_X0Y39 |
VBRK VBRK_X50Y103 |
CLBLM_L CLBLM_L_X8Y99 SLICEM SLICE[0,0] SLICE_X10Y99 SLICEL SLICE[1,0] SLICE_X11Y99 |
INT_L INT_L_X8Y99 TIEOFF TIEOFF[0,0] TIEOFF_X9Y99 |
INT_R INT_R_X9Y99 TIEOFF TIEOFF[0,0] TIEOFF_X10Y99 |
CLBLM_R CLBLM_R_X9Y99 SLICEM SLICE[0,0] SLICE_X12Y99 SLICEL SLICE[1,0] SLICE_X13Y99 |
VBRK VBRK_X55Y103 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y103 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y103 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y103 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y103 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y103 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y103 |
MONITOR_TOP_PELE1 MONITOR_TOP_PELE1_X67Y99 |
VFRAME VFRAME_X68Y103 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y99 |
INT_L INT_L_X10Y99 TIEOFF TIEOFF[0,0] TIEOFF_X11Y99 |
INT_R INT_R_X11Y99 TIEOFF TIEOFF[0,0] TIEOFF_X12Y99 |
CLBLL_R CLBLL_R_X11Y99 SLICEL SLICE[0,0] SLICE_X14Y99 SLICEL SLICE[1,0] SLICE_X15Y99 |
CLBLM_L CLBLM_L_X12Y99 SLICEM SLICE[0,0] SLICE_X16Y99 SLICEL SLICE[1,0] SLICE_X17Y99 |
INT_L INT_L_X12Y99 TIEOFF TIEOFF[0,0] TIEOFF_X13Y99 |
INT_R INT_R_X13Y99 TIEOFF TIEOFF[0,0] TIEOFF_X14Y99 |
CLBLL_R CLBLL_R_X13Y99 SLICEL SLICE[0,0] SLICE_X18Y99 SLICEL SLICE[1,0] SLICE_X19Y99 |
VBRK VBRK_X77Y103 |
CLBLM_L CLBLM_L_X14Y99 SLICEM SLICE[0,0] SLICE_X20Y99 SLICEL SLICE[1,0] SLICE_X21Y99 |
INT_L INT_L_X14Y99 TIEOFF TIEOFF[0,0] TIEOFF_X15Y99 |
INT_R INT_R_X15Y99 TIEOFF TIEOFF[0,0] TIEOFF_X16Y99 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y99 |
CLK_FEED CLK_FEED_X82Y103 |
VBRK VBRK_X83Y103 |
CLBLL_L CLBLL_L_X16Y99 SLICEL SLICE[0,0] SLICE_X22Y99 SLICEL SLICE[1,0] SLICE_X23Y99 |
INT_L INT_L_X16Y99 TIEOFF TIEOFF[0,0] TIEOFF_X17Y99 |
INT_R INT_R_X17Y99 TIEOFF TIEOFF[0,0] TIEOFF_X18Y99 |
CLBLM_R CLBLM_R_X17Y99 SLICEM SLICE[0,0] SLICE_X24Y99 SLICEL SLICE[1,0] SLICE_X25Y99 |
VBRK VBRK_X88Y103 |
BRAM_L BRAM_L_X18Y95 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y19 FIFO18E1 RAMB18[0,0] RAMB18_X1Y38 RAMB18E1 RAMB18[0,1] RAMB18_X1Y39 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y99 |
INT_L INT_L_X18Y99 TIEOFF TIEOFF[0,0] TIEOFF_X19Y99 |
INT_R INT_R_X19Y99 TIEOFF TIEOFF[0,0] TIEOFF_X20Y99 |
CLBLL_R CLBLL_R_X19Y99 SLICEL SLICE[0,0] SLICE_X26Y99 SLICEL SLICE[1,0] SLICE_X27Y99 |
CLBLM_L CLBLM_L_X20Y99 SLICEM SLICE[0,0] SLICE_X28Y99 SLICEL SLICE[1,0] SLICE_X29Y99 |
INT_L INT_L_X20Y99 TIEOFF TIEOFF[0,0] TIEOFF_X21Y99 |
INT_R INT_R_X21Y99 TIEOFF TIEOFF[0,0] TIEOFF_X22Y99 |
CLBLM_R CLBLM_R_X21Y99 SLICEM SLICE[0,0] SLICE_X30Y99 SLICEL SLICE[1,0] SLICE_X31Y99 |
VBRK VBRK_X98Y103 |
DSP_L DSP_L_X22Y95 TIEOFF TIEOFF[0,0] TIEOFF_X23Y95 DSP48E1 DSP48[0,0] DSP48_X1Y38 DSP48E1 DSP48[0,1] DSP48_X1Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y99 |
INT_L INT_L_X22Y99 TIEOFF TIEOFF[0,0] TIEOFF_X24Y99 |
INT_R INT_R_X23Y99 TIEOFF TIEOFF[0,0] TIEOFF_X25Y99 |
CLBLM_R CLBLM_R_X23Y99 SLICEM SLICE[0,0] SLICE_X32Y99 SLICEL SLICE[1,0] SLICE_X33Y99 |
CLBLM_L CLBLM_L_X24Y99 SLICEM SLICE[0,0] SLICE_X34Y99 SLICEL SLICE[1,0] SLICE_X35Y99 |
INT_L INT_L_X24Y99 TIEOFF TIEOFF[0,0] TIEOFF_X26Y99 |
INT_R INT_R_X25Y99 TIEOFF TIEOFF[0,0] TIEOFF_X27Y99 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y99 |
BRAM_R BRAM_R_X25Y95 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y19 FIFO18E1 RAMB18[0,0] RAMB18_X2Y38 RAMB18E1 RAMB18[0,1] RAMB18_X2Y39 |
VBRK VBRK_X109Y103 |
CLBLL_L CLBLL_L_X26Y99 SLICEL SLICE[0,0] SLICE_X36Y99 SLICEL SLICE[1,0] SLICE_X37Y99 |
INT_L INT_L_X26Y99 TIEOFF TIEOFF[0,0] TIEOFF_X28Y99 |
INT_R INT_R_X27Y99 TIEOFF TIEOFF[0,0] TIEOFF_X29Y99 |
CLBLM_R CLBLM_R_X27Y99 SLICEM SLICE[0,0] SLICE_X38Y99 SLICEL SLICE[1,0] SLICE_X39Y99 |
CLBLL_L CLBLL_L_X28Y99 SLICEL SLICE[0,0] SLICE_X40Y99 SLICEL SLICE[1,0] SLICE_X41Y99 |
INT_L INT_L_X28Y99 TIEOFF TIEOFF[0,0] TIEOFF_X30Y99 |
INT_R INT_R_X29Y99 TIEOFF TIEOFF[0,0] TIEOFF_X31Y99 |
CLBLM_R CLBLM_R_X29Y99 SLICEM SLICE[0,0] SLICE_X42Y99 SLICEL SLICE[1,0] SLICE_X43Y99 |
VBRK VBRK_X118Y103 |
CMT_TOP_L_UPPER_T CMT_TOP_L_UPPER_T_X119Y96 PLLE2_ADV PLLE2_ADV[0,0] PLLE2_ADV_X0Y1 |
CMT_PMV_L CMT_PMV_L_X120Y103 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y99 |
INT_L INT_L_X30Y99 TIEOFF TIEOFF[0,0] TIEOFF_X32Y99 |
INT_R INT_R_X31Y99 TIEOFF TIEOFF[0,0] TIEOFF_X33Y99 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y99 |
R_TERM_INT R_TERM_INT_X125Y103 |
RIOI3_SING RIOI3_SING_X31Y99 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y99 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y99 IDELAYE2 IDELAY[0,0] IDELAY_X0Y99 |
RIOB33_SING RIOB33_SING_X31Y99 IOB33 IOB[0,0] IOB_X0Y99 |
|||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y98 |
INT_L INT_L_X0Y98 TIEOFF TIEOFF[0,0] TIEOFF_X0Y98 |
INT_R INT_R_X1Y98 TIEOFF TIEOFF[0,0] TIEOFF_X1Y98 |
CLBLM_R CLBLM_R_X1Y98 SLICEM SLICE[0,0] SLICE_X0Y98 SLICEL SLICE[1,0] SLICE_X1Y98 |
CLBLL_L CLBLL_L_X2Y98 SLICEL SLICE[0,0] SLICE_X2Y98 SLICEL SLICE[1,0] SLICE_X3Y98 |
INT_L INT_L_X2Y98 TIEOFF TIEOFF[0,0] TIEOFF_X2Y98 |
INT_R INT_R_X3Y98 TIEOFF TIEOFF[0,0] TIEOFF_X3Y98 |
CLBLM_R CLBLM_R_X3Y98 SLICEM SLICE[0,0] SLICE_X4Y98 SLICEL SLICE[1,0] SLICE_X5Y98 |
VBRK VBRK_X39Y102 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y98 |
INT_L INT_L_X4Y98 TIEOFF TIEOFF[0,0] TIEOFF_X4Y98 |
INT_R INT_R_X5Y98 TIEOFF TIEOFF[0,0] TIEOFF_X5Y98 |
CLBLM_R CLBLM_R_X5Y98 SLICEM SLICE[0,0] SLICE_X6Y98 SLICEL SLICE[1,0] SLICE_X7Y98 |
CLBLM_L CLBLM_L_X6Y98 SLICEM SLICE[0,0] SLICE_X8Y98 SLICEL SLICE[1,0] SLICE_X9Y98 |
INT_L INT_L_X6Y98 TIEOFF TIEOFF[0,0] TIEOFF_X6Y98 |
INT_R INT_R_X7Y98 TIEOFF TIEOFF[0,0] TIEOFF_X7Y98 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y98 |
VBRK VBRK_X50Y102 |
CLBLM_L CLBLM_L_X8Y98 SLICEM SLICE[0,0] SLICE_X10Y98 SLICEL SLICE[1,0] SLICE_X11Y98 |
INT_L INT_L_X8Y98 TIEOFF TIEOFF[0,0] TIEOFF_X9Y98 |
INT_R INT_R_X9Y98 TIEOFF TIEOFF[0,0] TIEOFF_X10Y98 |
CLBLM_R CLBLM_R_X9Y98 SLICEM SLICE[0,0] SLICE_X12Y98 SLICEL SLICE[1,0] SLICE_X13Y98 |
VBRK VBRK_X55Y102 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y102 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y102 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y102 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y102 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y102 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y102 |
VFRAME VFRAME_X68Y102 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y98 |
INT_L INT_L_X10Y98 TIEOFF TIEOFF[0,0] TIEOFF_X11Y98 |
INT_R INT_R_X11Y98 TIEOFF TIEOFF[0,0] TIEOFF_X12Y98 |
CLBLL_R CLBLL_R_X11Y98 SLICEL SLICE[0,0] SLICE_X14Y98 SLICEL SLICE[1,0] SLICE_X15Y98 |
CLBLM_L CLBLM_L_X12Y98 SLICEM SLICE[0,0] SLICE_X16Y98 SLICEL SLICE[1,0] SLICE_X17Y98 |
INT_L INT_L_X12Y98 TIEOFF TIEOFF[0,0] TIEOFF_X13Y98 |
INT_R INT_R_X13Y98 TIEOFF TIEOFF[0,0] TIEOFF_X14Y98 |
CLBLL_R CLBLL_R_X13Y98 SLICEL SLICE[0,0] SLICE_X18Y98 SLICEL SLICE[1,0] SLICE_X19Y98 |
VBRK VBRK_X77Y102 |
CLBLM_L CLBLM_L_X14Y98 SLICEM SLICE[0,0] SLICE_X20Y98 SLICEL SLICE[1,0] SLICE_X21Y98 |
INT_L INT_L_X14Y98 TIEOFF TIEOFF[0,0] TIEOFF_X15Y98 |
INT_R INT_R_X15Y98 TIEOFF TIEOFF[0,0] TIEOFF_X16Y98 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y98 |
CLK_FEED CLK_FEED_X82Y102 |
VBRK VBRK_X83Y102 |
CLBLL_L CLBLL_L_X16Y98 SLICEL SLICE[0,0] SLICE_X22Y98 SLICEL SLICE[1,0] SLICE_X23Y98 |
INT_L INT_L_X16Y98 TIEOFF TIEOFF[0,0] TIEOFF_X17Y98 |
INT_R INT_R_X17Y98 TIEOFF TIEOFF[0,0] TIEOFF_X18Y98 |
CLBLM_R CLBLM_R_X17Y98 SLICEM SLICE[0,0] SLICE_X24Y98 SLICEL SLICE[1,0] SLICE_X25Y98 |
VBRK VBRK_X88Y102 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y98 |
INT_L INT_L_X18Y98 TIEOFF TIEOFF[0,0] TIEOFF_X19Y98 |
INT_R INT_R_X19Y98 TIEOFF TIEOFF[0,0] TIEOFF_X20Y98 |
CLBLL_R CLBLL_R_X19Y98 SLICEL SLICE[0,0] SLICE_X26Y98 SLICEL SLICE[1,0] SLICE_X27Y98 |
CLBLM_L CLBLM_L_X20Y98 SLICEM SLICE[0,0] SLICE_X28Y98 SLICEL SLICE[1,0] SLICE_X29Y98 |
INT_L INT_L_X20Y98 TIEOFF TIEOFF[0,0] TIEOFF_X21Y98 |
INT_R INT_R_X21Y98 TIEOFF TIEOFF[0,0] TIEOFF_X22Y98 |
CLBLM_R CLBLM_R_X21Y98 SLICEM SLICE[0,0] SLICE_X30Y98 SLICEL SLICE[1,0] SLICE_X31Y98 |
VBRK VBRK_X98Y102 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y98 |
INT_L INT_L_X22Y98 TIEOFF TIEOFF[0,0] TIEOFF_X24Y98 |
INT_R INT_R_X23Y98 TIEOFF TIEOFF[0,0] TIEOFF_X25Y98 |
CLBLM_R CLBLM_R_X23Y98 SLICEM SLICE[0,0] SLICE_X32Y98 SLICEL SLICE[1,0] SLICE_X33Y98 |
CLBLM_L CLBLM_L_X24Y98 SLICEM SLICE[0,0] SLICE_X34Y98 SLICEL SLICE[1,0] SLICE_X35Y98 |
INT_L INT_L_X24Y98 TIEOFF TIEOFF[0,0] TIEOFF_X26Y98 |
INT_R INT_R_X25Y98 TIEOFF TIEOFF[0,0] TIEOFF_X27Y98 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y98 |
VBRK VBRK_X109Y102 |
CLBLL_L CLBLL_L_X26Y98 SLICEL SLICE[0,0] SLICE_X36Y98 SLICEL SLICE[1,0] SLICE_X37Y98 |
INT_L INT_L_X26Y98 TIEOFF TIEOFF[0,0] TIEOFF_X28Y98 |
INT_R INT_R_X27Y98 TIEOFF TIEOFF[0,0] TIEOFF_X29Y98 |
CLBLM_R CLBLM_R_X27Y98 SLICEM SLICE[0,0] SLICE_X38Y98 SLICEL SLICE[1,0] SLICE_X39Y98 |
CLBLL_L CLBLL_L_X28Y98 SLICEL SLICE[0,0] SLICE_X40Y98 SLICEL SLICE[1,0] SLICE_X41Y98 |
INT_L INT_L_X28Y98 TIEOFF TIEOFF[0,0] TIEOFF_X30Y98 |
INT_R INT_R_X29Y98 TIEOFF TIEOFF[0,0] TIEOFF_X31Y98 |
CLBLM_R CLBLM_R_X29Y98 SLICEM SLICE[0,0] SLICE_X42Y98 SLICEL SLICE[1,0] SLICE_X43Y98 |
VBRK VBRK_X118Y102 |
CMT_FIFO_L CMT_FIFO_L_X120Y97 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y7 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y98 |
INT_L INT_L_X30Y98 TIEOFF TIEOFF[0,0] TIEOFF_X32Y98 |
INT_R INT_R_X31Y98 TIEOFF TIEOFF[0,0] TIEOFF_X33Y98 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y98 |
R_TERM_INT R_TERM_INT_X125Y102 |
RIOI3 RIOI3_X31Y97 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y97 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y98 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y97 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y98 IDELAYE2 IDELAY[0,0] IDELAY_X0Y97 IDELAYE2 IDELAY[0,1] IDELAY_X0Y98 |
RIOB33 RIOB33_X31Y97 IOB33S IOB[0,0] IOB_X0Y97 IOB33M IOB[0,1] IOB_X0Y98 |
|||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y97 |
INT_L INT_L_X0Y97 TIEOFF TIEOFF[0,0] TIEOFF_X0Y97 |
INT_R INT_R_X1Y97 TIEOFF TIEOFF[0,0] TIEOFF_X1Y97 |
CLBLM_R CLBLM_R_X1Y97 SLICEM SLICE[0,0] SLICE_X0Y97 SLICEL SLICE[1,0] SLICE_X1Y97 |
CLBLL_L CLBLL_L_X2Y97 SLICEL SLICE[0,0] SLICE_X2Y97 SLICEL SLICE[1,0] SLICE_X3Y97 |
INT_L INT_L_X2Y97 TIEOFF TIEOFF[0,0] TIEOFF_X2Y97 |
INT_R INT_R_X3Y97 TIEOFF TIEOFF[0,0] TIEOFF_X3Y97 |
CLBLM_R CLBLM_R_X3Y97 SLICEM SLICE[0,0] SLICE_X4Y97 SLICEL SLICE[1,0] SLICE_X5Y97 |
VBRK VBRK_X39Y101 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y97 |
INT_L INT_L_X4Y97 TIEOFF TIEOFF[0,0] TIEOFF_X4Y97 |
INT_R INT_R_X5Y97 TIEOFF TIEOFF[0,0] TIEOFF_X5Y97 |
CLBLM_R CLBLM_R_X5Y97 SLICEM SLICE[0,0] SLICE_X6Y97 SLICEL SLICE[1,0] SLICE_X7Y97 |
CLBLM_L CLBLM_L_X6Y97 SLICEM SLICE[0,0] SLICE_X8Y97 SLICEL SLICE[1,0] SLICE_X9Y97 |
INT_L INT_L_X6Y97 TIEOFF TIEOFF[0,0] TIEOFF_X6Y97 |
INT_R INT_R_X7Y97 TIEOFF TIEOFF[0,0] TIEOFF_X7Y97 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y97 |
VBRK VBRK_X50Y101 |
CLBLM_L CLBLM_L_X8Y97 SLICEM SLICE[0,0] SLICE_X10Y97 SLICEL SLICE[1,0] SLICE_X11Y97 |
INT_L INT_L_X8Y97 TIEOFF TIEOFF[0,0] TIEOFF_X9Y97 |
INT_R INT_R_X9Y97 TIEOFF TIEOFF[0,0] TIEOFF_X10Y97 |
CLBLM_R CLBLM_R_X9Y97 SLICEM SLICE[0,0] SLICE_X12Y97 SLICEL SLICE[1,0] SLICE_X13Y97 |
VBRK VBRK_X55Y101 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y101 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y101 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y101 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y101 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y101 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y101 |
VFRAME VFRAME_X68Y101 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y97 |
INT_L INT_L_X10Y97 TIEOFF TIEOFF[0,0] TIEOFF_X11Y97 |
INT_R INT_R_X11Y97 TIEOFF TIEOFF[0,0] TIEOFF_X12Y97 |
CLBLL_R CLBLL_R_X11Y97 SLICEL SLICE[0,0] SLICE_X14Y97 SLICEL SLICE[1,0] SLICE_X15Y97 |
CLBLM_L CLBLM_L_X12Y97 SLICEM SLICE[0,0] SLICE_X16Y97 SLICEL SLICE[1,0] SLICE_X17Y97 |
INT_L INT_L_X12Y97 TIEOFF TIEOFF[0,0] TIEOFF_X13Y97 |
INT_R INT_R_X13Y97 TIEOFF TIEOFF[0,0] TIEOFF_X14Y97 |
CLBLL_R CLBLL_R_X13Y97 SLICEL SLICE[0,0] SLICE_X18Y97 SLICEL SLICE[1,0] SLICE_X19Y97 |
VBRK VBRK_X77Y101 |
CLBLM_L CLBLM_L_X14Y97 SLICEM SLICE[0,0] SLICE_X20Y97 SLICEL SLICE[1,0] SLICE_X21Y97 |
INT_L INT_L_X14Y97 TIEOFF TIEOFF[0,0] TIEOFF_X15Y97 |
INT_R INT_R_X15Y97 TIEOFF TIEOFF[0,0] TIEOFF_X16Y97 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y97 |
CLK_FEED CLK_FEED_X82Y101 |
VBRK VBRK_X83Y101 |
CLBLL_L CLBLL_L_X16Y97 SLICEL SLICE[0,0] SLICE_X22Y97 SLICEL SLICE[1,0] SLICE_X23Y97 |
INT_L INT_L_X16Y97 TIEOFF TIEOFF[0,0] TIEOFF_X17Y97 |
INT_R INT_R_X17Y97 TIEOFF TIEOFF[0,0] TIEOFF_X18Y97 |
CLBLM_R CLBLM_R_X17Y97 SLICEM SLICE[0,0] SLICE_X24Y97 SLICEL SLICE[1,0] SLICE_X25Y97 |
VBRK VBRK_X88Y101 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y97 |
INT_L INT_L_X18Y97 TIEOFF TIEOFF[0,0] TIEOFF_X19Y97 |
INT_R INT_R_X19Y97 TIEOFF TIEOFF[0,0] TIEOFF_X20Y97 |
CLBLL_R CLBLL_R_X19Y97 SLICEL SLICE[0,0] SLICE_X26Y97 SLICEL SLICE[1,0] SLICE_X27Y97 |
CLBLM_L CLBLM_L_X20Y97 SLICEM SLICE[0,0] SLICE_X28Y97 SLICEL SLICE[1,0] SLICE_X29Y97 |
INT_L INT_L_X20Y97 TIEOFF TIEOFF[0,0] TIEOFF_X21Y97 |
INT_R INT_R_X21Y97 TIEOFF TIEOFF[0,0] TIEOFF_X22Y97 |
CLBLM_R CLBLM_R_X21Y97 SLICEM SLICE[0,0] SLICE_X30Y97 SLICEL SLICE[1,0] SLICE_X31Y97 |
VBRK VBRK_X98Y101 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y97 |
INT_L INT_L_X22Y97 TIEOFF TIEOFF[0,0] TIEOFF_X24Y97 |
INT_R INT_R_X23Y97 TIEOFF TIEOFF[0,0] TIEOFF_X25Y97 |
CLBLM_R CLBLM_R_X23Y97 SLICEM SLICE[0,0] SLICE_X32Y97 SLICEL SLICE[1,0] SLICE_X33Y97 |
CLBLM_L CLBLM_L_X24Y97 SLICEM SLICE[0,0] SLICE_X34Y97 SLICEL SLICE[1,0] SLICE_X35Y97 |
INT_L INT_L_X24Y97 TIEOFF TIEOFF[0,0] TIEOFF_X26Y97 |
INT_R INT_R_X25Y97 TIEOFF TIEOFF[0,0] TIEOFF_X27Y97 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y97 |
VBRK VBRK_X109Y101 |
CLBLL_L CLBLL_L_X26Y97 SLICEL SLICE[0,0] SLICE_X36Y97 SLICEL SLICE[1,0] SLICE_X37Y97 |
INT_L INT_L_X26Y97 TIEOFF TIEOFF[0,0] TIEOFF_X28Y97 |
INT_R INT_R_X27Y97 TIEOFF TIEOFF[0,0] TIEOFF_X29Y97 |
CLBLM_R CLBLM_R_X27Y97 SLICEM SLICE[0,0] SLICE_X38Y97 SLICEL SLICE[1,0] SLICE_X39Y97 |
CLBLL_L CLBLL_L_X28Y97 SLICEL SLICE[0,0] SLICE_X40Y97 SLICEL SLICE[1,0] SLICE_X41Y97 |
INT_L INT_L_X28Y97 TIEOFF TIEOFF[0,0] TIEOFF_X30Y97 |
INT_R INT_R_X29Y97 TIEOFF TIEOFF[0,0] TIEOFF_X31Y97 |
CLBLM_R CLBLM_R_X29Y97 SLICEM SLICE[0,0] SLICE_X42Y97 SLICEL SLICE[1,0] SLICE_X43Y97 |
VBRK VBRK_X118Y101 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y97 |
INT_L INT_L_X30Y97 TIEOFF TIEOFF[0,0] TIEOFF_X32Y97 |
INT_R INT_R_X31Y97 TIEOFF TIEOFF[0,0] TIEOFF_X33Y97 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y97 |
R_TERM_INT R_TERM_INT_X125Y101 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y96 |
INT_L INT_L_X0Y96 TIEOFF TIEOFF[0,0] TIEOFF_X0Y96 |
INT_R INT_R_X1Y96 TIEOFF TIEOFF[0,0] TIEOFF_X1Y96 |
CLBLM_R CLBLM_R_X1Y96 SLICEM SLICE[0,0] SLICE_X0Y96 SLICEL SLICE[1,0] SLICE_X1Y96 |
CLBLL_L CLBLL_L_X2Y96 SLICEL SLICE[0,0] SLICE_X2Y96 SLICEL SLICE[1,0] SLICE_X3Y96 |
INT_L INT_L_X2Y96 TIEOFF TIEOFF[0,0] TIEOFF_X2Y96 |
INT_R INT_R_X3Y96 TIEOFF TIEOFF[0,0] TIEOFF_X3Y96 |
CLBLM_R CLBLM_R_X3Y96 SLICEM SLICE[0,0] SLICE_X4Y96 SLICEL SLICE[1,0] SLICE_X5Y96 |
VBRK VBRK_X39Y100 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y96 |
INT_L INT_L_X4Y96 TIEOFF TIEOFF[0,0] TIEOFF_X4Y96 |
INT_R INT_R_X5Y96 TIEOFF TIEOFF[0,0] TIEOFF_X5Y96 |
CLBLM_R CLBLM_R_X5Y96 SLICEM SLICE[0,0] SLICE_X6Y96 SLICEL SLICE[1,0] SLICE_X7Y96 |
CLBLM_L CLBLM_L_X6Y96 SLICEM SLICE[0,0] SLICE_X8Y96 SLICEL SLICE[1,0] SLICE_X9Y96 |
INT_L INT_L_X6Y96 TIEOFF TIEOFF[0,0] TIEOFF_X6Y96 |
INT_R INT_R_X7Y96 TIEOFF TIEOFF[0,0] TIEOFF_X7Y96 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y96 |
VBRK VBRK_X50Y100 |
CLBLM_L CLBLM_L_X8Y96 SLICEM SLICE[0,0] SLICE_X10Y96 SLICEL SLICE[1,0] SLICE_X11Y96 |
INT_L INT_L_X8Y96 TIEOFF TIEOFF[0,0] TIEOFF_X9Y96 |
INT_R INT_R_X9Y96 TIEOFF TIEOFF[0,0] TIEOFF_X10Y96 |
CLBLM_R CLBLM_R_X9Y96 SLICEM SLICE[0,0] SLICE_X12Y96 SLICEL SLICE[1,0] SLICE_X13Y96 |
VBRK VBRK_X55Y100 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y100 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y100 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y100 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y100 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y100 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y100 |
VFRAME VFRAME_X68Y100 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y96 |
INT_L INT_L_X10Y96 TIEOFF TIEOFF[0,0] TIEOFF_X11Y96 |
INT_R INT_R_X11Y96 TIEOFF TIEOFF[0,0] TIEOFF_X12Y96 |
CLBLL_R CLBLL_R_X11Y96 SLICEL SLICE[0,0] SLICE_X14Y96 SLICEL SLICE[1,0] SLICE_X15Y96 |
CLBLM_L CLBLM_L_X12Y96 SLICEM SLICE[0,0] SLICE_X16Y96 SLICEL SLICE[1,0] SLICE_X17Y96 |
INT_L INT_L_X12Y96 TIEOFF TIEOFF[0,0] TIEOFF_X13Y96 |
INT_R INT_R_X13Y96 TIEOFF TIEOFF[0,0] TIEOFF_X14Y96 |
CLBLL_R CLBLL_R_X13Y96 SLICEL SLICE[0,0] SLICE_X18Y96 SLICEL SLICE[1,0] SLICE_X19Y96 |
VBRK VBRK_X77Y100 |
CLBLM_L CLBLM_L_X14Y96 SLICEM SLICE[0,0] SLICE_X20Y96 SLICEL SLICE[1,0] SLICE_X21Y96 |
INT_L INT_L_X14Y96 TIEOFF TIEOFF[0,0] TIEOFF_X15Y96 |
INT_R INT_R_X15Y96 TIEOFF TIEOFF[0,0] TIEOFF_X16Y96 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y96 |
CLK_FEED CLK_FEED_X82Y100 |
VBRK VBRK_X83Y100 |
CLBLL_L CLBLL_L_X16Y96 SLICEL SLICE[0,0] SLICE_X22Y96 SLICEL SLICE[1,0] SLICE_X23Y96 |
INT_L INT_L_X16Y96 TIEOFF TIEOFF[0,0] TIEOFF_X17Y96 |
INT_R INT_R_X17Y96 TIEOFF TIEOFF[0,0] TIEOFF_X18Y96 |
CLBLM_R CLBLM_R_X17Y96 SLICEM SLICE[0,0] SLICE_X24Y96 SLICEL SLICE[1,0] SLICE_X25Y96 |
VBRK VBRK_X88Y100 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y96 |
INT_L INT_L_X18Y96 TIEOFF TIEOFF[0,0] TIEOFF_X19Y96 |
INT_R INT_R_X19Y96 TIEOFF TIEOFF[0,0] TIEOFF_X20Y96 |
CLBLL_R CLBLL_R_X19Y96 SLICEL SLICE[0,0] SLICE_X26Y96 SLICEL SLICE[1,0] SLICE_X27Y96 |
CLBLM_L CLBLM_L_X20Y96 SLICEM SLICE[0,0] SLICE_X28Y96 SLICEL SLICE[1,0] SLICE_X29Y96 |
INT_L INT_L_X20Y96 TIEOFF TIEOFF[0,0] TIEOFF_X21Y96 |
INT_R INT_R_X21Y96 TIEOFF TIEOFF[0,0] TIEOFF_X22Y96 |
CLBLM_R CLBLM_R_X21Y96 SLICEM SLICE[0,0] SLICE_X30Y96 SLICEL SLICE[1,0] SLICE_X31Y96 |
VBRK VBRK_X98Y100 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y96 |
INT_L INT_L_X22Y96 TIEOFF TIEOFF[0,0] TIEOFF_X24Y96 |
INT_R INT_R_X23Y96 TIEOFF TIEOFF[0,0] TIEOFF_X25Y96 |
CLBLM_R CLBLM_R_X23Y96 SLICEM SLICE[0,0] SLICE_X32Y96 SLICEL SLICE[1,0] SLICE_X33Y96 |
CLBLM_L CLBLM_L_X24Y96 SLICEM SLICE[0,0] SLICE_X34Y96 SLICEL SLICE[1,0] SLICE_X35Y96 |
INT_L INT_L_X24Y96 TIEOFF TIEOFF[0,0] TIEOFF_X26Y96 |
INT_R INT_R_X25Y96 TIEOFF TIEOFF[0,0] TIEOFF_X27Y96 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y96 |
VBRK VBRK_X109Y100 |
CLBLL_L CLBLL_L_X26Y96 SLICEL SLICE[0,0] SLICE_X36Y96 SLICEL SLICE[1,0] SLICE_X37Y96 |
INT_L INT_L_X26Y96 TIEOFF TIEOFF[0,0] TIEOFF_X28Y96 |
INT_R INT_R_X27Y96 TIEOFF TIEOFF[0,0] TIEOFF_X29Y96 |
CLBLM_R CLBLM_R_X27Y96 SLICEM SLICE[0,0] SLICE_X38Y96 SLICEL SLICE[1,0] SLICE_X39Y96 |
CLBLL_L CLBLL_L_X28Y96 SLICEL SLICE[0,0] SLICE_X40Y96 SLICEL SLICE[1,0] SLICE_X41Y96 |
INT_L INT_L_X28Y96 TIEOFF TIEOFF[0,0] TIEOFF_X30Y96 |
INT_R INT_R_X29Y96 TIEOFF TIEOFF[0,0] TIEOFF_X31Y96 |
CLBLM_R CLBLM_R_X29Y96 SLICEM SLICE[0,0] SLICE_X42Y96 SLICEL SLICE[1,0] SLICE_X43Y96 |
VBRK VBRK_X118Y100 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y96 |
INT_L INT_L_X30Y96 TIEOFF TIEOFF[0,0] TIEOFF_X32Y96 |
INT_R INT_R_X31Y96 TIEOFF TIEOFF[0,0] TIEOFF_X33Y96 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y96 |
R_TERM_INT R_TERM_INT_X125Y100 |
RIOI3 RIOI3_X31Y95 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y95 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y96 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y95 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y96 IDELAYE2 IDELAY[0,0] IDELAY_X0Y95 IDELAYE2 IDELAY[0,1] IDELAY_X0Y96 |
RIOB33 RIOB33_X31Y95 IOB33S IOB[0,0] IOB_X0Y95 IOB33M IOB[0,1] IOB_X0Y96 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y95 |
INT_L INT_L_X0Y95 TIEOFF TIEOFF[0,0] TIEOFF_X0Y95 |
INT_R INT_R_X1Y95 TIEOFF TIEOFF[0,0] TIEOFF_X1Y95 |
CLBLM_R CLBLM_R_X1Y95 SLICEM SLICE[0,0] SLICE_X0Y95 SLICEL SLICE[1,0] SLICE_X1Y95 |
CLBLL_L CLBLL_L_X2Y95 SLICEL SLICE[0,0] SLICE_X2Y95 SLICEL SLICE[1,0] SLICE_X3Y95 |
INT_L INT_L_X2Y95 TIEOFF TIEOFF[0,0] TIEOFF_X2Y95 |
INT_R INT_R_X3Y95 TIEOFF TIEOFF[0,0] TIEOFF_X3Y95 |
CLBLM_R CLBLM_R_X3Y95 SLICEM SLICE[0,0] SLICE_X4Y95 SLICEL SLICE[1,0] SLICE_X5Y95 |
VBRK VBRK_X39Y99 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y95 |
INT_L INT_L_X4Y95 TIEOFF TIEOFF[0,0] TIEOFF_X4Y95 |
INT_R INT_R_X5Y95 TIEOFF TIEOFF[0,0] TIEOFF_X5Y95 |
CLBLM_R CLBLM_R_X5Y95 SLICEM SLICE[0,0] SLICE_X6Y95 SLICEL SLICE[1,0] SLICE_X7Y95 |
CLBLM_L CLBLM_L_X6Y95 SLICEM SLICE[0,0] SLICE_X8Y95 SLICEL SLICE[1,0] SLICE_X9Y95 |
INT_L INT_L_X6Y95 TIEOFF TIEOFF[0,0] TIEOFF_X6Y95 |
INT_R INT_R_X7Y95 TIEOFF TIEOFF[0,0] TIEOFF_X7Y95 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y95 |
VBRK VBRK_X50Y99 |
CLBLM_L CLBLM_L_X8Y95 SLICEM SLICE[0,0] SLICE_X10Y95 SLICEL SLICE[1,0] SLICE_X11Y95 |
INT_L INT_L_X8Y95 TIEOFF TIEOFF[0,0] TIEOFF_X9Y95 |
INT_R INT_R_X9Y95 TIEOFF TIEOFF[0,0] TIEOFF_X10Y95 |
CLBLM_R CLBLM_R_X9Y95 SLICEM SLICE[0,0] SLICE_X12Y95 SLICEL SLICE[1,0] SLICE_X13Y95 |
VBRK VBRK_X55Y99 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y99 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y99 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y99 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y99 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y99 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y99 |
VFRAME VFRAME_X68Y99 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y95 |
INT_L INT_L_X10Y95 TIEOFF TIEOFF[0,0] TIEOFF_X11Y95 |
INT_R INT_R_X11Y95 TIEOFF TIEOFF[0,0] TIEOFF_X12Y95 |
CLBLL_R CLBLL_R_X11Y95 SLICEL SLICE[0,0] SLICE_X14Y95 SLICEL SLICE[1,0] SLICE_X15Y95 |
CLBLM_L CLBLM_L_X12Y95 SLICEM SLICE[0,0] SLICE_X16Y95 SLICEL SLICE[1,0] SLICE_X17Y95 |
INT_L INT_L_X12Y95 TIEOFF TIEOFF[0,0] TIEOFF_X13Y95 |
INT_R INT_R_X13Y95 TIEOFF TIEOFF[0,0] TIEOFF_X14Y95 |
CLBLL_R CLBLL_R_X13Y95 SLICEL SLICE[0,0] SLICE_X18Y95 SLICEL SLICE[1,0] SLICE_X19Y95 |
VBRK VBRK_X77Y99 |
CLBLM_L CLBLM_L_X14Y95 SLICEM SLICE[0,0] SLICE_X20Y95 SLICEL SLICE[1,0] SLICE_X21Y95 |
INT_L INT_L_X14Y95 TIEOFF TIEOFF[0,0] TIEOFF_X15Y95 |
INT_R INT_R_X15Y95 TIEOFF TIEOFF[0,0] TIEOFF_X16Y95 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y95 |
CLK_FEED CLK_FEED_X82Y99 |
VBRK VBRK_X83Y99 |
CLBLL_L CLBLL_L_X16Y95 SLICEL SLICE[0,0] SLICE_X22Y95 SLICEL SLICE[1,0] SLICE_X23Y95 |
INT_L INT_L_X16Y95 TIEOFF TIEOFF[0,0] TIEOFF_X17Y95 |
INT_R INT_R_X17Y95 TIEOFF TIEOFF[0,0] TIEOFF_X18Y95 |
CLBLM_R CLBLM_R_X17Y95 SLICEM SLICE[0,0] SLICE_X24Y95 SLICEL SLICE[1,0] SLICE_X25Y95 |
VBRK VBRK_X88Y99 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y95 |
INT_L INT_L_X18Y95 TIEOFF TIEOFF[0,0] TIEOFF_X19Y95 |
INT_R INT_R_X19Y95 TIEOFF TIEOFF[0,0] TIEOFF_X20Y95 |
CLBLL_R CLBLL_R_X19Y95 SLICEL SLICE[0,0] SLICE_X26Y95 SLICEL SLICE[1,0] SLICE_X27Y95 |
CLBLM_L CLBLM_L_X20Y95 SLICEM SLICE[0,0] SLICE_X28Y95 SLICEL SLICE[1,0] SLICE_X29Y95 |
INT_L INT_L_X20Y95 TIEOFF TIEOFF[0,0] TIEOFF_X21Y95 |
INT_R INT_R_X21Y95 TIEOFF TIEOFF[0,0] TIEOFF_X22Y95 |
CLBLM_R CLBLM_R_X21Y95 SLICEM SLICE[0,0] SLICE_X30Y95 SLICEL SLICE[1,0] SLICE_X31Y95 |
VBRK VBRK_X98Y99 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y95 |
INT_L INT_L_X22Y95 TIEOFF TIEOFF[0,0] TIEOFF_X24Y95 |
INT_R INT_R_X23Y95 TIEOFF TIEOFF[0,0] TIEOFF_X25Y95 |
CLBLM_R CLBLM_R_X23Y95 SLICEM SLICE[0,0] SLICE_X32Y95 SLICEL SLICE[1,0] SLICE_X33Y95 |
CLBLM_L CLBLM_L_X24Y95 SLICEM SLICE[0,0] SLICE_X34Y95 SLICEL SLICE[1,0] SLICE_X35Y95 |
INT_L INT_L_X24Y95 TIEOFF TIEOFF[0,0] TIEOFF_X26Y95 |
INT_R INT_R_X25Y95 TIEOFF TIEOFF[0,0] TIEOFF_X27Y95 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y95 |
VBRK VBRK_X109Y99 |
CLBLL_L CLBLL_L_X26Y95 SLICEL SLICE[0,0] SLICE_X36Y95 SLICEL SLICE[1,0] SLICE_X37Y95 |
INT_L INT_L_X26Y95 TIEOFF TIEOFF[0,0] TIEOFF_X28Y95 |
INT_R INT_R_X27Y95 TIEOFF TIEOFF[0,0] TIEOFF_X29Y95 |
CLBLM_R CLBLM_R_X27Y95 SLICEM SLICE[0,0] SLICE_X38Y95 SLICEL SLICE[1,0] SLICE_X39Y95 |
CLBLL_L CLBLL_L_X28Y95 SLICEL SLICE[0,0] SLICE_X40Y95 SLICEL SLICE[1,0] SLICE_X41Y95 |
INT_L INT_L_X28Y95 TIEOFF TIEOFF[0,0] TIEOFF_X30Y95 |
INT_R INT_R_X29Y95 TIEOFF TIEOFF[0,0] TIEOFF_X31Y95 |
CLBLM_R CLBLM_R_X29Y95 SLICEM SLICE[0,0] SLICE_X42Y95 SLICEL SLICE[1,0] SLICE_X43Y95 |
VBRK VBRK_X118Y99 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y95 |
INT_L INT_L_X30Y95 TIEOFF TIEOFF[0,0] TIEOFF_X32Y95 |
INT_R INT_R_X31Y95 TIEOFF TIEOFF[0,0] TIEOFF_X33Y95 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y95 |
R_TERM_INT R_TERM_INT_X125Y99 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y94 |
INT_L INT_L_X0Y94 TIEOFF TIEOFF[0,0] TIEOFF_X0Y94 |
INT_R INT_R_X1Y94 TIEOFF TIEOFF[0,0] TIEOFF_X1Y94 |
CLBLM_R CLBLM_R_X1Y94 SLICEM SLICE[0,0] SLICE_X0Y94 SLICEL SLICE[1,0] SLICE_X1Y94 |
CLBLL_L CLBLL_L_X2Y94 SLICEL SLICE[0,0] SLICE_X2Y94 SLICEL SLICE[1,0] SLICE_X3Y94 |
INT_L INT_L_X2Y94 TIEOFF TIEOFF[0,0] TIEOFF_X2Y94 |
INT_R INT_R_X3Y94 TIEOFF TIEOFF[0,0] TIEOFF_X3Y94 |
CLBLM_R CLBLM_R_X3Y94 SLICEM SLICE[0,0] SLICE_X4Y94 SLICEL SLICE[1,0] SLICE_X5Y94 |
VBRK VBRK_X39Y98 |
BRAM_L BRAM_L_X4Y90 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y18 FIFO18E1 RAMB18[0,0] RAMB18_X0Y36 RAMB18E1 RAMB18[0,1] RAMB18_X0Y37 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y94 |
INT_L INT_L_X4Y94 TIEOFF TIEOFF[0,0] TIEOFF_X4Y94 |
INT_R INT_R_X5Y94 TIEOFF TIEOFF[0,0] TIEOFF_X5Y94 |
CLBLM_R CLBLM_R_X5Y94 SLICEM SLICE[0,0] SLICE_X6Y94 SLICEL SLICE[1,0] SLICE_X7Y94 |
CLBLM_L CLBLM_L_X6Y94 SLICEM SLICE[0,0] SLICE_X8Y94 SLICEL SLICE[1,0] SLICE_X9Y94 |
INT_L INT_L_X6Y94 TIEOFF TIEOFF[0,0] TIEOFF_X6Y94 |
INT_R INT_R_X7Y94 TIEOFF TIEOFF[0,0] TIEOFF_X7Y94 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y94 |
DSP_R DSP_R_X7Y90 TIEOFF TIEOFF[0,0] TIEOFF_X8Y90 DSP48E1 DSP48[0,0] DSP48_X0Y36 DSP48E1 DSP48[0,1] DSP48_X0Y37 |
VBRK VBRK_X50Y98 |
CLBLM_L CLBLM_L_X8Y94 SLICEM SLICE[0,0] SLICE_X10Y94 SLICEL SLICE[1,0] SLICE_X11Y94 |
INT_L INT_L_X8Y94 TIEOFF TIEOFF[0,0] TIEOFF_X9Y94 |
INT_R INT_R_X9Y94 TIEOFF TIEOFF[0,0] TIEOFF_X10Y94 |
CLBLM_R CLBLM_R_X9Y94 SLICEM SLICE[0,0] SLICE_X12Y94 SLICEL SLICE[1,0] SLICE_X13Y94 |
VBRK VBRK_X55Y98 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y98 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y98 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y98 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y98 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y98 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y98 |
MONITOR_MID_PELE1 MONITOR_MID_PELE1_X67Y89 |
VFRAME VFRAME_X68Y98 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y94 |
INT_L INT_L_X10Y94 TIEOFF TIEOFF[0,0] TIEOFF_X11Y94 |
INT_R INT_R_X11Y94 TIEOFF TIEOFF[0,0] TIEOFF_X12Y94 |
CLBLL_R CLBLL_R_X11Y94 SLICEL SLICE[0,0] SLICE_X14Y94 SLICEL SLICE[1,0] SLICE_X15Y94 |
CLBLM_L CLBLM_L_X12Y94 SLICEM SLICE[0,0] SLICE_X16Y94 SLICEL SLICE[1,0] SLICE_X17Y94 |
INT_L INT_L_X12Y94 TIEOFF TIEOFF[0,0] TIEOFF_X13Y94 |
INT_R INT_R_X13Y94 TIEOFF TIEOFF[0,0] TIEOFF_X14Y94 |
CLBLL_R CLBLL_R_X13Y94 SLICEL SLICE[0,0] SLICE_X18Y94 SLICEL SLICE[1,0] SLICE_X19Y94 |
VBRK VBRK_X77Y98 |
CLBLM_L CLBLM_L_X14Y94 SLICEM SLICE[0,0] SLICE_X20Y94 SLICEL SLICE[1,0] SLICE_X21Y94 |
INT_L INT_L_X14Y94 TIEOFF TIEOFF[0,0] TIEOFF_X15Y94 |
INT_R INT_R_X15Y94 TIEOFF TIEOFF[0,0] TIEOFF_X16Y94 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y94 |
CLK_FEED CLK_FEED_X82Y98 |
VBRK VBRK_X83Y98 |
CLBLL_L CLBLL_L_X16Y94 SLICEL SLICE[0,0] SLICE_X22Y94 SLICEL SLICE[1,0] SLICE_X23Y94 |
INT_L INT_L_X16Y94 TIEOFF TIEOFF[0,0] TIEOFF_X17Y94 |
INT_R INT_R_X17Y94 TIEOFF TIEOFF[0,0] TIEOFF_X18Y94 |
CLBLM_R CLBLM_R_X17Y94 SLICEM SLICE[0,0] SLICE_X24Y94 SLICEL SLICE[1,0] SLICE_X25Y94 |
VBRK VBRK_X88Y98 |
BRAM_L BRAM_L_X18Y90 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y18 FIFO18E1 RAMB18[0,0] RAMB18_X1Y36 RAMB18E1 RAMB18[0,1] RAMB18_X1Y37 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y94 |
INT_L INT_L_X18Y94 TIEOFF TIEOFF[0,0] TIEOFF_X19Y94 |
INT_R INT_R_X19Y94 TIEOFF TIEOFF[0,0] TIEOFF_X20Y94 |
CLBLL_R CLBLL_R_X19Y94 SLICEL SLICE[0,0] SLICE_X26Y94 SLICEL SLICE[1,0] SLICE_X27Y94 |
CLBLM_L CLBLM_L_X20Y94 SLICEM SLICE[0,0] SLICE_X28Y94 SLICEL SLICE[1,0] SLICE_X29Y94 |
INT_L INT_L_X20Y94 TIEOFF TIEOFF[0,0] TIEOFF_X21Y94 |
INT_R INT_R_X21Y94 TIEOFF TIEOFF[0,0] TIEOFF_X22Y94 |
CLBLM_R CLBLM_R_X21Y94 SLICEM SLICE[0,0] SLICE_X30Y94 SLICEL SLICE[1,0] SLICE_X31Y94 |
VBRK VBRK_X98Y98 |
DSP_L DSP_L_X22Y90 TIEOFF TIEOFF[0,0] TIEOFF_X23Y90 DSP48E1 DSP48[0,0] DSP48_X1Y36 DSP48E1 DSP48[0,1] DSP48_X1Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y94 |
INT_L INT_L_X22Y94 TIEOFF TIEOFF[0,0] TIEOFF_X24Y94 |
INT_R INT_R_X23Y94 TIEOFF TIEOFF[0,0] TIEOFF_X25Y94 |
CLBLM_R CLBLM_R_X23Y94 SLICEM SLICE[0,0] SLICE_X32Y94 SLICEL SLICE[1,0] SLICE_X33Y94 |
CLBLM_L CLBLM_L_X24Y94 SLICEM SLICE[0,0] SLICE_X34Y94 SLICEL SLICE[1,0] SLICE_X35Y94 |
INT_L INT_L_X24Y94 TIEOFF TIEOFF[0,0] TIEOFF_X26Y94 |
INT_R INT_R_X25Y94 TIEOFF TIEOFF[0,0] TIEOFF_X27Y94 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y94 |
BRAM_R BRAM_R_X25Y90 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y18 FIFO18E1 RAMB18[0,0] RAMB18_X2Y36 RAMB18E1 RAMB18[0,1] RAMB18_X2Y37 |
VBRK VBRK_X109Y98 |
CLBLL_L CLBLL_L_X26Y94 SLICEL SLICE[0,0] SLICE_X36Y94 SLICEL SLICE[1,0] SLICE_X37Y94 |
INT_L INT_L_X26Y94 TIEOFF TIEOFF[0,0] TIEOFF_X28Y94 |
INT_R INT_R_X27Y94 TIEOFF TIEOFF[0,0] TIEOFF_X29Y94 |
CLBLM_R CLBLM_R_X27Y94 SLICEM SLICE[0,0] SLICE_X38Y94 SLICEL SLICE[1,0] SLICE_X39Y94 |
CLBLL_L CLBLL_L_X28Y94 SLICEL SLICE[0,0] SLICE_X40Y94 SLICEL SLICE[1,0] SLICE_X41Y94 |
INT_L INT_L_X28Y94 TIEOFF TIEOFF[0,0] TIEOFF_X30Y94 |
INT_R INT_R_X29Y94 TIEOFF TIEOFF[0,0] TIEOFF_X31Y94 |
CLBLM_R CLBLM_R_X29Y94 SLICEM SLICE[0,0] SLICE_X42Y94 SLICEL SLICE[1,0] SLICE_X43Y94 |
VBRK VBRK_X118Y98 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y94 |
INT_L INT_L_X30Y94 TIEOFF TIEOFF[0,0] TIEOFF_X32Y94 |
INT_R INT_R_X31Y94 TIEOFF TIEOFF[0,0] TIEOFF_X33Y94 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y94 |
R_TERM_INT R_TERM_INT_X125Y98 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y93 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y93 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y94 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y93 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y94 IDELAYE2 IDELAY[0,0] IDELAY_X0Y93 IDELAYE2 IDELAY[0,1] IDELAY_X0Y94 |
RIOB33 RIOB33_X31Y93 IOB33S IOB[0,0] IOB_X0Y93 IOB33M IOB[0,1] IOB_X0Y94 |
||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y93 |
INT_L INT_L_X0Y93 TIEOFF TIEOFF[0,0] TIEOFF_X0Y93 |
INT_R INT_R_X1Y93 TIEOFF TIEOFF[0,0] TIEOFF_X1Y93 |
CLBLM_R CLBLM_R_X1Y93 SLICEM SLICE[0,0] SLICE_X0Y93 SLICEL SLICE[1,0] SLICE_X1Y93 |
CLBLL_L CLBLL_L_X2Y93 SLICEL SLICE[0,0] SLICE_X2Y93 SLICEL SLICE[1,0] SLICE_X3Y93 |
INT_L INT_L_X2Y93 TIEOFF TIEOFF[0,0] TIEOFF_X2Y93 |
INT_R INT_R_X3Y93 TIEOFF TIEOFF[0,0] TIEOFF_X3Y93 |
CLBLM_R CLBLM_R_X3Y93 SLICEM SLICE[0,0] SLICE_X4Y93 SLICEL SLICE[1,0] SLICE_X5Y93 |
VBRK VBRK_X39Y97 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y93 |
INT_L INT_L_X4Y93 TIEOFF TIEOFF[0,0] TIEOFF_X4Y93 |
INT_R INT_R_X5Y93 TIEOFF TIEOFF[0,0] TIEOFF_X5Y93 |
CLBLM_R CLBLM_R_X5Y93 SLICEM SLICE[0,0] SLICE_X6Y93 SLICEL SLICE[1,0] SLICE_X7Y93 |
CLBLM_L CLBLM_L_X6Y93 SLICEM SLICE[0,0] SLICE_X8Y93 SLICEL SLICE[1,0] SLICE_X9Y93 |
INT_L INT_L_X6Y93 TIEOFF TIEOFF[0,0] TIEOFF_X6Y93 |
INT_R INT_R_X7Y93 TIEOFF TIEOFF[0,0] TIEOFF_X7Y93 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y93 |
VBRK VBRK_X50Y97 |
CLBLM_L CLBLM_L_X8Y93 SLICEM SLICE[0,0] SLICE_X10Y93 SLICEL SLICE[1,0] SLICE_X11Y93 |
INT_L INT_L_X8Y93 TIEOFF TIEOFF[0,0] TIEOFF_X9Y93 |
INT_R INT_R_X9Y93 TIEOFF TIEOFF[0,0] TIEOFF_X10Y93 |
CLBLM_R CLBLM_R_X9Y93 SLICEM SLICE[0,0] SLICE_X12Y93 SLICEL SLICE[1,0] SLICE_X13Y93 |
VBRK VBRK_X55Y97 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y97 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y97 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y97 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y97 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y97 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y97 |
VFRAME VFRAME_X68Y97 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y93 |
INT_L INT_L_X10Y93 TIEOFF TIEOFF[0,0] TIEOFF_X11Y93 |
INT_R INT_R_X11Y93 TIEOFF TIEOFF[0,0] TIEOFF_X12Y93 |
CLBLL_R CLBLL_R_X11Y93 SLICEL SLICE[0,0] SLICE_X14Y93 SLICEL SLICE[1,0] SLICE_X15Y93 |
CLBLM_L CLBLM_L_X12Y93 SLICEM SLICE[0,0] SLICE_X16Y93 SLICEL SLICE[1,0] SLICE_X17Y93 |
INT_L INT_L_X12Y93 TIEOFF TIEOFF[0,0] TIEOFF_X13Y93 |
INT_R INT_R_X13Y93 TIEOFF TIEOFF[0,0] TIEOFF_X14Y93 |
CLBLL_R CLBLL_R_X13Y93 SLICEL SLICE[0,0] SLICE_X18Y93 SLICEL SLICE[1,0] SLICE_X19Y93 |
VBRK VBRK_X77Y97 |
CLBLM_L CLBLM_L_X14Y93 SLICEM SLICE[0,0] SLICE_X20Y93 SLICEL SLICE[1,0] SLICE_X21Y93 |
INT_L INT_L_X14Y93 TIEOFF TIEOFF[0,0] TIEOFF_X15Y93 |
INT_R INT_R_X15Y93 TIEOFF TIEOFF[0,0] TIEOFF_X16Y93 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y93 |
CLK_FEED CLK_FEED_X82Y97 |
VBRK VBRK_X83Y97 |
CLBLL_L CLBLL_L_X16Y93 SLICEL SLICE[0,0] SLICE_X22Y93 SLICEL SLICE[1,0] SLICE_X23Y93 |
INT_L INT_L_X16Y93 TIEOFF TIEOFF[0,0] TIEOFF_X17Y93 |
INT_R INT_R_X17Y93 TIEOFF TIEOFF[0,0] TIEOFF_X18Y93 |
CLBLM_R CLBLM_R_X17Y93 SLICEM SLICE[0,0] SLICE_X24Y93 SLICEL SLICE[1,0] SLICE_X25Y93 |
VBRK VBRK_X88Y97 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y93 |
INT_L INT_L_X18Y93 TIEOFF TIEOFF[0,0] TIEOFF_X19Y93 |
INT_R INT_R_X19Y93 TIEOFF TIEOFF[0,0] TIEOFF_X20Y93 |
CLBLL_R CLBLL_R_X19Y93 SLICEL SLICE[0,0] SLICE_X26Y93 SLICEL SLICE[1,0] SLICE_X27Y93 |
CLBLM_L CLBLM_L_X20Y93 SLICEM SLICE[0,0] SLICE_X28Y93 SLICEL SLICE[1,0] SLICE_X29Y93 |
INT_L INT_L_X20Y93 TIEOFF TIEOFF[0,0] TIEOFF_X21Y93 |
INT_R INT_R_X21Y93 TIEOFF TIEOFF[0,0] TIEOFF_X22Y93 |
CLBLM_R CLBLM_R_X21Y93 SLICEM SLICE[0,0] SLICE_X30Y93 SLICEL SLICE[1,0] SLICE_X31Y93 |
VBRK VBRK_X98Y97 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y93 |
INT_L INT_L_X22Y93 TIEOFF TIEOFF[0,0] TIEOFF_X24Y93 |
INT_R INT_R_X23Y93 TIEOFF TIEOFF[0,0] TIEOFF_X25Y93 |
CLBLM_R CLBLM_R_X23Y93 SLICEM SLICE[0,0] SLICE_X32Y93 SLICEL SLICE[1,0] SLICE_X33Y93 |
CLBLM_L CLBLM_L_X24Y93 SLICEM SLICE[0,0] SLICE_X34Y93 SLICEL SLICE[1,0] SLICE_X35Y93 |
INT_L INT_L_X24Y93 TIEOFF TIEOFF[0,0] TIEOFF_X26Y93 |
INT_R INT_R_X25Y93 TIEOFF TIEOFF[0,0] TIEOFF_X27Y93 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y93 |
VBRK VBRK_X109Y97 |
CLBLL_L CLBLL_L_X26Y93 SLICEL SLICE[0,0] SLICE_X36Y93 SLICEL SLICE[1,0] SLICE_X37Y93 |
INT_L INT_L_X26Y93 TIEOFF TIEOFF[0,0] TIEOFF_X28Y93 |
INT_R INT_R_X27Y93 TIEOFF TIEOFF[0,0] TIEOFF_X29Y93 |
CLBLM_R CLBLM_R_X27Y93 SLICEM SLICE[0,0] SLICE_X38Y93 SLICEL SLICE[1,0] SLICE_X39Y93 |
CLBLL_L CLBLL_L_X28Y93 SLICEL SLICE[0,0] SLICE_X40Y93 SLICEL SLICE[1,0] SLICE_X41Y93 |
INT_L INT_L_X28Y93 TIEOFF TIEOFF[0,0] TIEOFF_X30Y93 |
INT_R INT_R_X29Y93 TIEOFF TIEOFF[0,0] TIEOFF_X31Y93 |
CLBLM_R CLBLM_R_X29Y93 SLICEM SLICE[0,0] SLICE_X42Y93 SLICEL SLICE[1,0] SLICE_X43Y93 |
VBRK VBRK_X118Y97 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y93 |
INT_L INT_L_X30Y93 TIEOFF TIEOFF[0,0] TIEOFF_X32Y93 |
INT_R INT_R_X31Y93 TIEOFF TIEOFF[0,0] TIEOFF_X33Y93 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y93 |
R_TERM_INT R_TERM_INT_X125Y97 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y92 |
INT_L INT_L_X0Y92 TIEOFF TIEOFF[0,0] TIEOFF_X0Y92 |
INT_R INT_R_X1Y92 TIEOFF TIEOFF[0,0] TIEOFF_X1Y92 |
CLBLM_R CLBLM_R_X1Y92 SLICEM SLICE[0,0] SLICE_X0Y92 SLICEL SLICE[1,0] SLICE_X1Y92 |
CLBLL_L CLBLL_L_X2Y92 SLICEL SLICE[0,0] SLICE_X2Y92 SLICEL SLICE[1,0] SLICE_X3Y92 |
INT_L INT_L_X2Y92 TIEOFF TIEOFF[0,0] TIEOFF_X2Y92 |
INT_R INT_R_X3Y92 TIEOFF TIEOFF[0,0] TIEOFF_X3Y92 |
CLBLM_R CLBLM_R_X3Y92 SLICEM SLICE[0,0] SLICE_X4Y92 SLICEL SLICE[1,0] SLICE_X5Y92 |
VBRK VBRK_X39Y96 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y92 |
INT_L INT_L_X4Y92 TIEOFF TIEOFF[0,0] TIEOFF_X4Y92 |
INT_R INT_R_X5Y92 TIEOFF TIEOFF[0,0] TIEOFF_X5Y92 |
CLBLM_R CLBLM_R_X5Y92 SLICEM SLICE[0,0] SLICE_X6Y92 SLICEL SLICE[1,0] SLICE_X7Y92 |
CLBLM_L CLBLM_L_X6Y92 SLICEM SLICE[0,0] SLICE_X8Y92 SLICEL SLICE[1,0] SLICE_X9Y92 |
INT_L INT_L_X6Y92 TIEOFF TIEOFF[0,0] TIEOFF_X6Y92 |
INT_R INT_R_X7Y92 TIEOFF TIEOFF[0,0] TIEOFF_X7Y92 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y92 |
VBRK VBRK_X50Y96 |
CLBLM_L CLBLM_L_X8Y92 SLICEM SLICE[0,0] SLICE_X10Y92 SLICEL SLICE[1,0] SLICE_X11Y92 |
INT_L INT_L_X8Y92 TIEOFF TIEOFF[0,0] TIEOFF_X9Y92 |
INT_R INT_R_X9Y92 TIEOFF TIEOFF[0,0] TIEOFF_X10Y92 |
CLBLM_R CLBLM_R_X9Y92 SLICEM SLICE[0,0] SLICE_X12Y92 SLICEL SLICE[1,0] SLICE_X13Y92 |
VBRK VBRK_X55Y96 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y96 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y96 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y96 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y96 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y96 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y96 |
VFRAME VFRAME_X68Y96 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y92 |
INT_L INT_L_X10Y92 TIEOFF TIEOFF[0,0] TIEOFF_X11Y92 |
INT_R INT_R_X11Y92 TIEOFF TIEOFF[0,0] TIEOFF_X12Y92 |
CLBLL_R CLBLL_R_X11Y92 SLICEL SLICE[0,0] SLICE_X14Y92 SLICEL SLICE[1,0] SLICE_X15Y92 |
CLBLM_L CLBLM_L_X12Y92 SLICEM SLICE[0,0] SLICE_X16Y92 SLICEL SLICE[1,0] SLICE_X17Y92 |
INT_L INT_L_X12Y92 TIEOFF TIEOFF[0,0] TIEOFF_X13Y92 |
INT_R INT_R_X13Y92 TIEOFF TIEOFF[0,0] TIEOFF_X14Y92 |
CLBLL_R CLBLL_R_X13Y92 SLICEL SLICE[0,0] SLICE_X18Y92 SLICEL SLICE[1,0] SLICE_X19Y92 |
VBRK VBRK_X77Y96 |
CLBLM_L CLBLM_L_X14Y92 SLICEM SLICE[0,0] SLICE_X20Y92 SLICEL SLICE[1,0] SLICE_X21Y92 |
INT_L INT_L_X14Y92 TIEOFF TIEOFF[0,0] TIEOFF_X15Y92 |
INT_R INT_R_X15Y92 TIEOFF TIEOFF[0,0] TIEOFF_X16Y92 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y92 |
CLK_FEED CLK_FEED_X82Y96 |
VBRK VBRK_X83Y96 |
CLBLL_L CLBLL_L_X16Y92 SLICEL SLICE[0,0] SLICE_X22Y92 SLICEL SLICE[1,0] SLICE_X23Y92 |
INT_L INT_L_X16Y92 TIEOFF TIEOFF[0,0] TIEOFF_X17Y92 |
INT_R INT_R_X17Y92 TIEOFF TIEOFF[0,0] TIEOFF_X18Y92 |
CLBLM_R CLBLM_R_X17Y92 SLICEM SLICE[0,0] SLICE_X24Y92 SLICEL SLICE[1,0] SLICE_X25Y92 |
VBRK VBRK_X88Y96 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y92 |
INT_L INT_L_X18Y92 TIEOFF TIEOFF[0,0] TIEOFF_X19Y92 |
INT_R INT_R_X19Y92 TIEOFF TIEOFF[0,0] TIEOFF_X20Y92 |
CLBLL_R CLBLL_R_X19Y92 SLICEL SLICE[0,0] SLICE_X26Y92 SLICEL SLICE[1,0] SLICE_X27Y92 |
CLBLM_L CLBLM_L_X20Y92 SLICEM SLICE[0,0] SLICE_X28Y92 SLICEL SLICE[1,0] SLICE_X29Y92 |
INT_L INT_L_X20Y92 TIEOFF TIEOFF[0,0] TIEOFF_X21Y92 |
INT_R INT_R_X21Y92 TIEOFF TIEOFF[0,0] TIEOFF_X22Y92 |
CLBLM_R CLBLM_R_X21Y92 SLICEM SLICE[0,0] SLICE_X30Y92 SLICEL SLICE[1,0] SLICE_X31Y92 |
VBRK VBRK_X98Y96 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y92 |
INT_L INT_L_X22Y92 TIEOFF TIEOFF[0,0] TIEOFF_X24Y92 |
INT_R INT_R_X23Y92 TIEOFF TIEOFF[0,0] TIEOFF_X25Y92 |
CLBLM_R CLBLM_R_X23Y92 SLICEM SLICE[0,0] SLICE_X32Y92 SLICEL SLICE[1,0] SLICE_X33Y92 |
CLBLM_L CLBLM_L_X24Y92 SLICEM SLICE[0,0] SLICE_X34Y92 SLICEL SLICE[1,0] SLICE_X35Y92 |
INT_L INT_L_X24Y92 TIEOFF TIEOFF[0,0] TIEOFF_X26Y92 |
INT_R INT_R_X25Y92 TIEOFF TIEOFF[0,0] TIEOFF_X27Y92 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y92 |
VBRK VBRK_X109Y96 |
CLBLL_L CLBLL_L_X26Y92 SLICEL SLICE[0,0] SLICE_X36Y92 SLICEL SLICE[1,0] SLICE_X37Y92 |
INT_L INT_L_X26Y92 TIEOFF TIEOFF[0,0] TIEOFF_X28Y92 |
INT_R INT_R_X27Y92 TIEOFF TIEOFF[0,0] TIEOFF_X29Y92 |
CLBLM_R CLBLM_R_X27Y92 SLICEM SLICE[0,0] SLICE_X38Y92 SLICEL SLICE[1,0] SLICE_X39Y92 |
CLBLL_L CLBLL_L_X28Y92 SLICEL SLICE[0,0] SLICE_X40Y92 SLICEL SLICE[1,0] SLICE_X41Y92 |
INT_L INT_L_X28Y92 TIEOFF TIEOFF[0,0] TIEOFF_X30Y92 |
INT_R INT_R_X29Y92 TIEOFF TIEOFF[0,0] TIEOFF_X31Y92 |
CLBLM_R CLBLM_R_X29Y92 SLICEM SLICE[0,0] SLICE_X42Y92 SLICEL SLICE[1,0] SLICE_X43Y92 |
VBRK VBRK_X118Y96 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y92 |
INT_L INT_L_X30Y92 TIEOFF TIEOFF[0,0] TIEOFF_X32Y92 |
INT_R INT_R_X31Y92 TIEOFF TIEOFF[0,0] TIEOFF_X33Y92 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y92 |
R_TERM_INT R_TERM_INT_X125Y96 |
RIOI3 RIOI3_X31Y91 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y91 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y92 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y91 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y92 IDELAYE2 IDELAY[0,0] IDELAY_X0Y91 IDELAYE2 IDELAY[0,1] IDELAY_X0Y92 |
RIOB33 RIOB33_X31Y91 IOB33S IOB[0,0] IOB_X0Y91 IOB33M IOB[0,1] IOB_X0Y92 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y91 |
INT_L INT_L_X0Y91 TIEOFF TIEOFF[0,0] TIEOFF_X0Y91 |
INT_R INT_R_X1Y91 TIEOFF TIEOFF[0,0] TIEOFF_X1Y91 |
CLBLM_R CLBLM_R_X1Y91 SLICEM SLICE[0,0] SLICE_X0Y91 SLICEL SLICE[1,0] SLICE_X1Y91 |
CLBLL_L CLBLL_L_X2Y91 SLICEL SLICE[0,0] SLICE_X2Y91 SLICEL SLICE[1,0] SLICE_X3Y91 |
INT_L INT_L_X2Y91 TIEOFF TIEOFF[0,0] TIEOFF_X2Y91 |
INT_R INT_R_X3Y91 TIEOFF TIEOFF[0,0] TIEOFF_X3Y91 |
CLBLM_R CLBLM_R_X3Y91 SLICEM SLICE[0,0] SLICE_X4Y91 SLICEL SLICE[1,0] SLICE_X5Y91 |
VBRK VBRK_X39Y95 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y91 |
INT_L INT_L_X4Y91 TIEOFF TIEOFF[0,0] TIEOFF_X4Y91 |
INT_R INT_R_X5Y91 TIEOFF TIEOFF[0,0] TIEOFF_X5Y91 |
CLBLM_R CLBLM_R_X5Y91 SLICEM SLICE[0,0] SLICE_X6Y91 SLICEL SLICE[1,0] SLICE_X7Y91 |
CLBLM_L CLBLM_L_X6Y91 SLICEM SLICE[0,0] SLICE_X8Y91 SLICEL SLICE[1,0] SLICE_X9Y91 |
INT_L INT_L_X6Y91 TIEOFF TIEOFF[0,0] TIEOFF_X6Y91 |
INT_R INT_R_X7Y91 TIEOFF TIEOFF[0,0] TIEOFF_X7Y91 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y91 |
VBRK VBRK_X50Y95 |
CLBLM_L CLBLM_L_X8Y91 SLICEM SLICE[0,0] SLICE_X10Y91 SLICEL SLICE[1,0] SLICE_X11Y91 |
INT_L INT_L_X8Y91 TIEOFF TIEOFF[0,0] TIEOFF_X9Y91 |
INT_R INT_R_X9Y91 TIEOFF TIEOFF[0,0] TIEOFF_X10Y91 |
CLBLM_R CLBLM_R_X9Y91 SLICEM SLICE[0,0] SLICE_X12Y91 SLICEL SLICE[1,0] SLICE_X13Y91 |
VBRK VBRK_X55Y95 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y95 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y95 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y95 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y95 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y95 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y95 |
VFRAME VFRAME_X68Y95 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y91 |
INT_L INT_L_X10Y91 TIEOFF TIEOFF[0,0] TIEOFF_X11Y91 |
INT_R INT_R_X11Y91 TIEOFF TIEOFF[0,0] TIEOFF_X12Y91 |
CLBLL_R CLBLL_R_X11Y91 SLICEL SLICE[0,0] SLICE_X14Y91 SLICEL SLICE[1,0] SLICE_X15Y91 |
CLBLM_L CLBLM_L_X12Y91 SLICEM SLICE[0,0] SLICE_X16Y91 SLICEL SLICE[1,0] SLICE_X17Y91 |
INT_L INT_L_X12Y91 TIEOFF TIEOFF[0,0] TIEOFF_X13Y91 |
INT_R INT_R_X13Y91 TIEOFF TIEOFF[0,0] TIEOFF_X14Y91 |
CLBLL_R CLBLL_R_X13Y91 SLICEL SLICE[0,0] SLICE_X18Y91 SLICEL SLICE[1,0] SLICE_X19Y91 |
VBRK VBRK_X77Y95 |
CLBLM_L CLBLM_L_X14Y91 SLICEM SLICE[0,0] SLICE_X20Y91 SLICEL SLICE[1,0] SLICE_X21Y91 |
INT_L INT_L_X14Y91 TIEOFF TIEOFF[0,0] TIEOFF_X15Y91 |
INT_R INT_R_X15Y91 TIEOFF TIEOFF[0,0] TIEOFF_X16Y91 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y91 |
CLK_FEED CLK_FEED_X82Y95 |
VBRK VBRK_X83Y95 |
CLBLL_L CLBLL_L_X16Y91 SLICEL SLICE[0,0] SLICE_X22Y91 SLICEL SLICE[1,0] SLICE_X23Y91 |
INT_L INT_L_X16Y91 TIEOFF TIEOFF[0,0] TIEOFF_X17Y91 |
INT_R INT_R_X17Y91 TIEOFF TIEOFF[0,0] TIEOFF_X18Y91 |
CLBLM_R CLBLM_R_X17Y91 SLICEM SLICE[0,0] SLICE_X24Y91 SLICEL SLICE[1,0] SLICE_X25Y91 |
VBRK VBRK_X88Y95 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y91 |
INT_L INT_L_X18Y91 TIEOFF TIEOFF[0,0] TIEOFF_X19Y91 |
INT_R INT_R_X19Y91 TIEOFF TIEOFF[0,0] TIEOFF_X20Y91 |
CLBLL_R CLBLL_R_X19Y91 SLICEL SLICE[0,0] SLICE_X26Y91 SLICEL SLICE[1,0] SLICE_X27Y91 |
CLBLM_L CLBLM_L_X20Y91 SLICEM SLICE[0,0] SLICE_X28Y91 SLICEL SLICE[1,0] SLICE_X29Y91 |
INT_L INT_L_X20Y91 TIEOFF TIEOFF[0,0] TIEOFF_X21Y91 |
INT_R INT_R_X21Y91 TIEOFF TIEOFF[0,0] TIEOFF_X22Y91 |
CLBLM_R CLBLM_R_X21Y91 SLICEM SLICE[0,0] SLICE_X30Y91 SLICEL SLICE[1,0] SLICE_X31Y91 |
VBRK VBRK_X98Y95 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y91 |
INT_L INT_L_X22Y91 TIEOFF TIEOFF[0,0] TIEOFF_X24Y91 |
INT_R INT_R_X23Y91 TIEOFF TIEOFF[0,0] TIEOFF_X25Y91 |
CLBLM_R CLBLM_R_X23Y91 SLICEM SLICE[0,0] SLICE_X32Y91 SLICEL SLICE[1,0] SLICE_X33Y91 |
CLBLM_L CLBLM_L_X24Y91 SLICEM SLICE[0,0] SLICE_X34Y91 SLICEL SLICE[1,0] SLICE_X35Y91 |
INT_L INT_L_X24Y91 TIEOFF TIEOFF[0,0] TIEOFF_X26Y91 |
INT_R INT_R_X25Y91 TIEOFF TIEOFF[0,0] TIEOFF_X27Y91 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y91 |
VBRK VBRK_X109Y95 |
CLBLL_L CLBLL_L_X26Y91 SLICEL SLICE[0,0] SLICE_X36Y91 SLICEL SLICE[1,0] SLICE_X37Y91 |
INT_L INT_L_X26Y91 TIEOFF TIEOFF[0,0] TIEOFF_X28Y91 |
INT_R INT_R_X27Y91 TIEOFF TIEOFF[0,0] TIEOFF_X29Y91 |
CLBLM_R CLBLM_R_X27Y91 SLICEM SLICE[0,0] SLICE_X38Y91 SLICEL SLICE[1,0] SLICE_X39Y91 |
CLBLL_L CLBLL_L_X28Y91 SLICEL SLICE[0,0] SLICE_X40Y91 SLICEL SLICE[1,0] SLICE_X41Y91 |
INT_L INT_L_X28Y91 TIEOFF TIEOFF[0,0] TIEOFF_X30Y91 |
INT_R INT_R_X29Y91 TIEOFF TIEOFF[0,0] TIEOFF_X31Y91 |
CLBLM_R CLBLM_R_X29Y91 SLICEM SLICE[0,0] SLICE_X42Y91 SLICEL SLICE[1,0] SLICE_X43Y91 |
VBRK VBRK_X118Y95 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y91 |
INT_L INT_L_X30Y91 TIEOFF TIEOFF[0,0] TIEOFF_X32Y91 |
INT_R INT_R_X31Y91 TIEOFF TIEOFF[0,0] TIEOFF_X33Y91 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y91 |
R_TERM_INT R_TERM_INT_X125Y95 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y90 |
INT_L INT_L_X0Y90 TIEOFF TIEOFF[0,0] TIEOFF_X0Y90 |
INT_R INT_R_X1Y90 TIEOFF TIEOFF[0,0] TIEOFF_X1Y90 |
CLBLM_R CLBLM_R_X1Y90 SLICEM SLICE[0,0] SLICE_X0Y90 SLICEL SLICE[1,0] SLICE_X1Y90 |
CLBLL_L CLBLL_L_X2Y90 SLICEL SLICE[0,0] SLICE_X2Y90 SLICEL SLICE[1,0] SLICE_X3Y90 |
INT_L INT_L_X2Y90 TIEOFF TIEOFF[0,0] TIEOFF_X2Y90 |
INT_R INT_R_X3Y90 TIEOFF TIEOFF[0,0] TIEOFF_X3Y90 |
CLBLM_R CLBLM_R_X3Y90 SLICEM SLICE[0,0] SLICE_X4Y90 SLICEL SLICE[1,0] SLICE_X5Y90 |
VBRK VBRK_X39Y94 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y90 |
INT_L INT_L_X4Y90 TIEOFF TIEOFF[0,0] TIEOFF_X4Y90 |
INT_R INT_R_X5Y90 TIEOFF TIEOFF[0,0] TIEOFF_X5Y90 |
CLBLM_R CLBLM_R_X5Y90 SLICEM SLICE[0,0] SLICE_X6Y90 SLICEL SLICE[1,0] SLICE_X7Y90 |
CLBLM_L CLBLM_L_X6Y90 SLICEM SLICE[0,0] SLICE_X8Y90 SLICEL SLICE[1,0] SLICE_X9Y90 |
INT_L INT_L_X6Y90 TIEOFF TIEOFF[0,0] TIEOFF_X6Y90 |
INT_R INT_R_X7Y90 TIEOFF TIEOFF[0,0] TIEOFF_X7Y90 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y90 |
VBRK VBRK_X50Y94 |
CLBLM_L CLBLM_L_X8Y90 SLICEM SLICE[0,0] SLICE_X10Y90 SLICEL SLICE[1,0] SLICE_X11Y90 |
INT_L INT_L_X8Y90 TIEOFF TIEOFF[0,0] TIEOFF_X9Y90 |
INT_R INT_R_X9Y90 TIEOFF TIEOFF[0,0] TIEOFF_X10Y90 |
CLBLM_R CLBLM_R_X9Y90 SLICEM SLICE[0,0] SLICE_X12Y90 SLICEL SLICE[1,0] SLICE_X13Y90 |
VBRK VBRK_X55Y94 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y94 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y94 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y94 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y94 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y94 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y94 |
VFRAME VFRAME_X68Y94 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y90 |
INT_L INT_L_X10Y90 TIEOFF TIEOFF[0,0] TIEOFF_X11Y90 |
INT_R INT_R_X11Y90 TIEOFF TIEOFF[0,0] TIEOFF_X12Y90 |
CLBLL_R CLBLL_R_X11Y90 SLICEL SLICE[0,0] SLICE_X14Y90 SLICEL SLICE[1,0] SLICE_X15Y90 |
CLBLM_L CLBLM_L_X12Y90 SLICEM SLICE[0,0] SLICE_X16Y90 SLICEL SLICE[1,0] SLICE_X17Y90 |
INT_L INT_L_X12Y90 TIEOFF TIEOFF[0,0] TIEOFF_X13Y90 |
INT_R INT_R_X13Y90 TIEOFF TIEOFF[0,0] TIEOFF_X14Y90 |
CLBLL_R CLBLL_R_X13Y90 SLICEL SLICE[0,0] SLICE_X18Y90 SLICEL SLICE[1,0] SLICE_X19Y90 |
VBRK VBRK_X77Y94 |
CLBLM_L CLBLM_L_X14Y90 SLICEM SLICE[0,0] SLICE_X20Y90 SLICEL SLICE[1,0] SLICE_X21Y90 |
INT_L INT_L_X14Y90 TIEOFF TIEOFF[0,0] TIEOFF_X15Y90 |
INT_R INT_R_X15Y90 TIEOFF TIEOFF[0,0] TIEOFF_X16Y90 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y90 |
CLK_FEED CLK_FEED_X82Y94 |
VBRK VBRK_X83Y94 |
CLBLL_L CLBLL_L_X16Y90 SLICEL SLICE[0,0] SLICE_X22Y90 SLICEL SLICE[1,0] SLICE_X23Y90 |
INT_L INT_L_X16Y90 TIEOFF TIEOFF[0,0] TIEOFF_X17Y90 |
INT_R INT_R_X17Y90 TIEOFF TIEOFF[0,0] TIEOFF_X18Y90 |
CLBLM_R CLBLM_R_X17Y90 SLICEM SLICE[0,0] SLICE_X24Y90 SLICEL SLICE[1,0] SLICE_X25Y90 |
VBRK VBRK_X88Y94 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y90 |
INT_L INT_L_X18Y90 TIEOFF TIEOFF[0,0] TIEOFF_X19Y90 |
INT_R INT_R_X19Y90 TIEOFF TIEOFF[0,0] TIEOFF_X20Y90 |
CLBLL_R CLBLL_R_X19Y90 SLICEL SLICE[0,0] SLICE_X26Y90 SLICEL SLICE[1,0] SLICE_X27Y90 |
CLBLM_L CLBLM_L_X20Y90 SLICEM SLICE[0,0] SLICE_X28Y90 SLICEL SLICE[1,0] SLICE_X29Y90 |
INT_L INT_L_X20Y90 TIEOFF TIEOFF[0,0] TIEOFF_X21Y90 |
INT_R INT_R_X21Y90 TIEOFF TIEOFF[0,0] TIEOFF_X22Y90 |
CLBLM_R CLBLM_R_X21Y90 SLICEM SLICE[0,0] SLICE_X30Y90 SLICEL SLICE[1,0] SLICE_X31Y90 |
VBRK VBRK_X98Y94 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y90 |
INT_L INT_L_X22Y90 TIEOFF TIEOFF[0,0] TIEOFF_X24Y90 |
INT_R INT_R_X23Y90 TIEOFF TIEOFF[0,0] TIEOFF_X25Y90 |
CLBLM_R CLBLM_R_X23Y90 SLICEM SLICE[0,0] SLICE_X32Y90 SLICEL SLICE[1,0] SLICE_X33Y90 |
CLBLM_L CLBLM_L_X24Y90 SLICEM SLICE[0,0] SLICE_X34Y90 SLICEL SLICE[1,0] SLICE_X35Y90 |
INT_L INT_L_X24Y90 TIEOFF TIEOFF[0,0] TIEOFF_X26Y90 |
INT_R INT_R_X25Y90 TIEOFF TIEOFF[0,0] TIEOFF_X27Y90 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y90 |
VBRK VBRK_X109Y94 |
CLBLL_L CLBLL_L_X26Y90 SLICEL SLICE[0,0] SLICE_X36Y90 SLICEL SLICE[1,0] SLICE_X37Y90 |
INT_L INT_L_X26Y90 TIEOFF TIEOFF[0,0] TIEOFF_X28Y90 |
INT_R INT_R_X27Y90 TIEOFF TIEOFF[0,0] TIEOFF_X29Y90 |
CLBLM_R CLBLM_R_X27Y90 SLICEM SLICE[0,0] SLICE_X38Y90 SLICEL SLICE[1,0] SLICE_X39Y90 |
CLBLL_L CLBLL_L_X28Y90 SLICEL SLICE[0,0] SLICE_X40Y90 SLICEL SLICE[1,0] SLICE_X41Y90 |
INT_L INT_L_X28Y90 TIEOFF TIEOFF[0,0] TIEOFF_X30Y90 |
INT_R INT_R_X29Y90 TIEOFF TIEOFF[0,0] TIEOFF_X31Y90 |
CLBLM_R CLBLM_R_X29Y90 SLICEM SLICE[0,0] SLICE_X42Y90 SLICEL SLICE[1,0] SLICE_X43Y90 |
VBRK VBRK_X118Y94 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y90 |
INT_L INT_L_X30Y90 TIEOFF TIEOFF[0,0] TIEOFF_X32Y90 |
INT_R INT_R_X31Y90 TIEOFF TIEOFF[0,0] TIEOFF_X33Y90 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y90 |
R_TERM_INT R_TERM_INT_X125Y94 |
RIOI3 RIOI3_X31Y89 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y89 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y90 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y89 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y90 IDELAYE2 IDELAY[0,0] IDELAY_X0Y89 IDELAYE2 IDELAY[0,1] IDELAY_X0Y90 |
RIOB33 RIOB33_X31Y89 IOB33S IOB[0,0] IOB_X0Y89 IOB33M IOB[0,1] IOB_X0Y90 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y89 |
INT_L INT_L_X0Y89 TIEOFF TIEOFF[0,0] TIEOFF_X0Y89 |
INT_R INT_R_X1Y89 TIEOFF TIEOFF[0,0] TIEOFF_X1Y89 |
CLBLM_R CLBLM_R_X1Y89 SLICEM SLICE[0,0] SLICE_X0Y89 SLICEL SLICE[1,0] SLICE_X1Y89 |
CLBLL_L CLBLL_L_X2Y89 SLICEL SLICE[0,0] SLICE_X2Y89 SLICEL SLICE[1,0] SLICE_X3Y89 |
INT_L INT_L_X2Y89 TIEOFF TIEOFF[0,0] TIEOFF_X2Y89 |
INT_R INT_R_X3Y89 TIEOFF TIEOFF[0,0] TIEOFF_X3Y89 |
CLBLM_R CLBLM_R_X3Y89 SLICEM SLICE[0,0] SLICE_X4Y89 SLICEL SLICE[1,0] SLICE_X5Y89 |
VBRK VBRK_X39Y93 |
BRAM_L BRAM_L_X4Y85 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y17 FIFO18E1 RAMB18[0,0] RAMB18_X0Y34 RAMB18E1 RAMB18[0,1] RAMB18_X0Y35 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y89 |
INT_L INT_L_X4Y89 TIEOFF TIEOFF[0,0] TIEOFF_X4Y89 |
INT_R INT_R_X5Y89 TIEOFF TIEOFF[0,0] TIEOFF_X5Y89 |
CLBLM_R CLBLM_R_X5Y89 SLICEM SLICE[0,0] SLICE_X6Y89 SLICEL SLICE[1,0] SLICE_X7Y89 |
CLBLM_L CLBLM_L_X6Y89 SLICEM SLICE[0,0] SLICE_X8Y89 SLICEL SLICE[1,0] SLICE_X9Y89 |
INT_L INT_L_X6Y89 TIEOFF TIEOFF[0,0] TIEOFF_X6Y89 |
INT_R INT_R_X7Y89 TIEOFF TIEOFF[0,0] TIEOFF_X7Y89 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y89 |
DSP_R DSP_R_X7Y85 TIEOFF TIEOFF[0,0] TIEOFF_X8Y85 DSP48E1 DSP48[0,0] DSP48_X0Y34 DSP48E1 DSP48[0,1] DSP48_X0Y35 |
VBRK VBRK_X50Y93 |
CLBLM_L CLBLM_L_X8Y89 SLICEM SLICE[0,0] SLICE_X10Y89 SLICEL SLICE[1,0] SLICE_X11Y89 |
INT_L INT_L_X8Y89 TIEOFF TIEOFF[0,0] TIEOFF_X9Y89 |
INT_R INT_R_X9Y89 TIEOFF TIEOFF[0,0] TIEOFF_X10Y89 |
CLBLM_R CLBLM_R_X9Y89 SLICEM SLICE[0,0] SLICE_X12Y89 SLICEL SLICE[1,0] SLICE_X13Y89 |
VBRK VBRK_X55Y93 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y93 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y93 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y93 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y93 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y93 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y93 |
VFRAME VFRAME_X68Y93 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y89 |
INT_L INT_L_X10Y89 TIEOFF TIEOFF[0,0] TIEOFF_X11Y89 |
INT_R INT_R_X11Y89 TIEOFF TIEOFF[0,0] TIEOFF_X12Y89 |
CLBLL_R CLBLL_R_X11Y89 SLICEL SLICE[0,0] SLICE_X14Y89 SLICEL SLICE[1,0] SLICE_X15Y89 |
CLBLM_L CLBLM_L_X12Y89 SLICEM SLICE[0,0] SLICE_X16Y89 SLICEL SLICE[1,0] SLICE_X17Y89 |
INT_L INT_L_X12Y89 TIEOFF TIEOFF[0,0] TIEOFF_X13Y89 |
INT_R INT_R_X13Y89 TIEOFF TIEOFF[0,0] TIEOFF_X14Y89 |
CLBLL_R CLBLL_R_X13Y89 SLICEL SLICE[0,0] SLICE_X18Y89 SLICEL SLICE[1,0] SLICE_X19Y89 |
VBRK VBRK_X77Y93 |
CLBLM_L CLBLM_L_X14Y89 SLICEM SLICE[0,0] SLICE_X20Y89 SLICEL SLICE[1,0] SLICE_X21Y89 |
INT_L INT_L_X14Y89 TIEOFF TIEOFF[0,0] TIEOFF_X15Y89 |
INT_R INT_R_X15Y89 TIEOFF TIEOFF[0,0] TIEOFF_X16Y89 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y89 |
CLK_FEED CLK_FEED_X82Y93 |
VBRK VBRK_X83Y93 |
CLBLL_L CLBLL_L_X16Y89 SLICEL SLICE[0,0] SLICE_X22Y89 SLICEL SLICE[1,0] SLICE_X23Y89 |
INT_L INT_L_X16Y89 TIEOFF TIEOFF[0,0] TIEOFF_X17Y89 |
INT_R INT_R_X17Y89 TIEOFF TIEOFF[0,0] TIEOFF_X18Y89 |
CLBLM_R CLBLM_R_X17Y89 SLICEM SLICE[0,0] SLICE_X24Y89 SLICEL SLICE[1,0] SLICE_X25Y89 |
VBRK VBRK_X88Y93 |
BRAM_L BRAM_L_X18Y85 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y17 FIFO18E1 RAMB18[0,0] RAMB18_X1Y34 RAMB18E1 RAMB18[0,1] RAMB18_X1Y35 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y89 |
INT_L INT_L_X18Y89 TIEOFF TIEOFF[0,0] TIEOFF_X19Y89 |
INT_R INT_R_X19Y89 TIEOFF TIEOFF[0,0] TIEOFF_X20Y89 |
CLBLL_R CLBLL_R_X19Y89 SLICEL SLICE[0,0] SLICE_X26Y89 SLICEL SLICE[1,0] SLICE_X27Y89 |
CLBLM_L CLBLM_L_X20Y89 SLICEM SLICE[0,0] SLICE_X28Y89 SLICEL SLICE[1,0] SLICE_X29Y89 |
INT_L INT_L_X20Y89 TIEOFF TIEOFF[0,0] TIEOFF_X21Y89 |
INT_R INT_R_X21Y89 TIEOFF TIEOFF[0,0] TIEOFF_X22Y89 |
CLBLM_R CLBLM_R_X21Y89 SLICEM SLICE[0,0] SLICE_X30Y89 SLICEL SLICE[1,0] SLICE_X31Y89 |
VBRK VBRK_X98Y93 |
DSP_L DSP_L_X22Y85 TIEOFF TIEOFF[0,0] TIEOFF_X23Y85 DSP48E1 DSP48[0,0] DSP48_X1Y34 DSP48E1 DSP48[0,1] DSP48_X1Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y89 |
INT_L INT_L_X22Y89 TIEOFF TIEOFF[0,0] TIEOFF_X24Y89 |
INT_R INT_R_X23Y89 TIEOFF TIEOFF[0,0] TIEOFF_X25Y89 |
CLBLM_R CLBLM_R_X23Y89 SLICEM SLICE[0,0] SLICE_X32Y89 SLICEL SLICE[1,0] SLICE_X33Y89 |
CLBLM_L CLBLM_L_X24Y89 SLICEM SLICE[0,0] SLICE_X34Y89 SLICEL SLICE[1,0] SLICE_X35Y89 |
INT_L INT_L_X24Y89 TIEOFF TIEOFF[0,0] TIEOFF_X26Y89 |
INT_R INT_R_X25Y89 TIEOFF TIEOFF[0,0] TIEOFF_X27Y89 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y89 |
BRAM_R BRAM_R_X25Y85 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y17 FIFO18E1 RAMB18[0,0] RAMB18_X2Y34 RAMB18E1 RAMB18[0,1] RAMB18_X2Y35 |
VBRK VBRK_X109Y93 |
CLBLL_L CLBLL_L_X26Y89 SLICEL SLICE[0,0] SLICE_X36Y89 SLICEL SLICE[1,0] SLICE_X37Y89 |
INT_L INT_L_X26Y89 TIEOFF TIEOFF[0,0] TIEOFF_X28Y89 |
INT_R INT_R_X27Y89 TIEOFF TIEOFF[0,0] TIEOFF_X29Y89 |
CLBLM_R CLBLM_R_X27Y89 SLICEM SLICE[0,0] SLICE_X38Y89 SLICEL SLICE[1,0] SLICE_X39Y89 |
CLBLL_L CLBLL_L_X28Y89 SLICEL SLICE[0,0] SLICE_X40Y89 SLICEL SLICE[1,0] SLICE_X41Y89 |
INT_L INT_L_X28Y89 TIEOFF TIEOFF[0,0] TIEOFF_X30Y89 |
INT_R INT_R_X29Y89 TIEOFF TIEOFF[0,0] TIEOFF_X31Y89 |
CLBLM_R CLBLM_R_X29Y89 SLICEM SLICE[0,0] SLICE_X42Y89 SLICEL SLICE[1,0] SLICE_X43Y89 |
VBRK VBRK_X118Y93 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y89 |
INT_L INT_L_X30Y89 TIEOFF TIEOFF[0,0] TIEOFF_X32Y89 |
INT_R INT_R_X31Y89 TIEOFF TIEOFF[0,0] TIEOFF_X33Y89 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y89 |
R_TERM_INT R_TERM_INT_X125Y93 |
|||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y88 |
INT_L INT_L_X0Y88 TIEOFF TIEOFF[0,0] TIEOFF_X0Y88 |
INT_R INT_R_X1Y88 TIEOFF TIEOFF[0,0] TIEOFF_X1Y88 |
CLBLM_R CLBLM_R_X1Y88 SLICEM SLICE[0,0] SLICE_X0Y88 SLICEL SLICE[1,0] SLICE_X1Y88 |
CLBLL_L CLBLL_L_X2Y88 SLICEL SLICE[0,0] SLICE_X2Y88 SLICEL SLICE[1,0] SLICE_X3Y88 |
INT_L INT_L_X2Y88 TIEOFF TIEOFF[0,0] TIEOFF_X2Y88 |
INT_R INT_R_X3Y88 TIEOFF TIEOFF[0,0] TIEOFF_X3Y88 |
CLBLM_R CLBLM_R_X3Y88 SLICEM SLICE[0,0] SLICE_X4Y88 SLICEL SLICE[1,0] SLICE_X5Y88 |
VBRK VBRK_X39Y92 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y88 |
INT_L INT_L_X4Y88 TIEOFF TIEOFF[0,0] TIEOFF_X4Y88 |
INT_R INT_R_X5Y88 TIEOFF TIEOFF[0,0] TIEOFF_X5Y88 |
CLBLM_R CLBLM_R_X5Y88 SLICEM SLICE[0,0] SLICE_X6Y88 SLICEL SLICE[1,0] SLICE_X7Y88 |
CLBLM_L CLBLM_L_X6Y88 SLICEM SLICE[0,0] SLICE_X8Y88 SLICEL SLICE[1,0] SLICE_X9Y88 |
INT_L INT_L_X6Y88 TIEOFF TIEOFF[0,0] TIEOFF_X6Y88 |
INT_R INT_R_X7Y88 TIEOFF TIEOFF[0,0] TIEOFF_X7Y88 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y88 |
VBRK VBRK_X50Y92 |
CLBLM_L CLBLM_L_X8Y88 SLICEM SLICE[0,0] SLICE_X10Y88 SLICEL SLICE[1,0] SLICE_X11Y88 |
INT_L INT_L_X8Y88 TIEOFF TIEOFF[0,0] TIEOFF_X9Y88 |
INT_R INT_R_X9Y88 TIEOFF TIEOFF[0,0] TIEOFF_X10Y88 |
CLBLM_R CLBLM_R_X9Y88 SLICEM SLICE[0,0] SLICE_X12Y88 SLICEL SLICE[1,0] SLICE_X13Y88 |
VBRK VBRK_X55Y92 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y92 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y92 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y92 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y92 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y92 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y92 |
VFRAME VFRAME_X68Y92 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y88 |
INT_L INT_L_X10Y88 TIEOFF TIEOFF[0,0] TIEOFF_X11Y88 |
INT_R INT_R_X11Y88 TIEOFF TIEOFF[0,0] TIEOFF_X12Y88 |
CLBLL_R CLBLL_R_X11Y88 SLICEL SLICE[0,0] SLICE_X14Y88 SLICEL SLICE[1,0] SLICE_X15Y88 |
CLBLM_L CLBLM_L_X12Y88 SLICEM SLICE[0,0] SLICE_X16Y88 SLICEL SLICE[1,0] SLICE_X17Y88 |
INT_L INT_L_X12Y88 TIEOFF TIEOFF[0,0] TIEOFF_X13Y88 |
INT_R INT_R_X13Y88 TIEOFF TIEOFF[0,0] TIEOFF_X14Y88 |
CLBLL_R CLBLL_R_X13Y88 SLICEL SLICE[0,0] SLICE_X18Y88 SLICEL SLICE[1,0] SLICE_X19Y88 |
VBRK VBRK_X77Y92 |
CLBLM_L CLBLM_L_X14Y88 SLICEM SLICE[0,0] SLICE_X20Y88 SLICEL SLICE[1,0] SLICE_X21Y88 |
INT_L INT_L_X14Y88 TIEOFF TIEOFF[0,0] TIEOFF_X15Y88 |
INT_R INT_R_X15Y88 TIEOFF TIEOFF[0,0] TIEOFF_X16Y88 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y88 |
CLK_FEED CLK_FEED_X82Y92 |
VBRK VBRK_X83Y92 |
CLBLL_L CLBLL_L_X16Y88 SLICEL SLICE[0,0] SLICE_X22Y88 SLICEL SLICE[1,0] SLICE_X23Y88 |
INT_L INT_L_X16Y88 TIEOFF TIEOFF[0,0] TIEOFF_X17Y88 |
INT_R INT_R_X17Y88 TIEOFF TIEOFF[0,0] TIEOFF_X18Y88 |
CLBLM_R CLBLM_R_X17Y88 SLICEM SLICE[0,0] SLICE_X24Y88 SLICEL SLICE[1,0] SLICE_X25Y88 |
VBRK VBRK_X88Y92 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y88 |
INT_L INT_L_X18Y88 TIEOFF TIEOFF[0,0] TIEOFF_X19Y88 |
INT_R INT_R_X19Y88 TIEOFF TIEOFF[0,0] TIEOFF_X20Y88 |
CLBLL_R CLBLL_R_X19Y88 SLICEL SLICE[0,0] SLICE_X26Y88 SLICEL SLICE[1,0] SLICE_X27Y88 |
CLBLM_L CLBLM_L_X20Y88 SLICEM SLICE[0,0] SLICE_X28Y88 SLICEL SLICE[1,0] SLICE_X29Y88 |
INT_L INT_L_X20Y88 TIEOFF TIEOFF[0,0] TIEOFF_X21Y88 |
INT_R INT_R_X21Y88 TIEOFF TIEOFF[0,0] TIEOFF_X22Y88 |
CLBLM_R CLBLM_R_X21Y88 SLICEM SLICE[0,0] SLICE_X30Y88 SLICEL SLICE[1,0] SLICE_X31Y88 |
VBRK VBRK_X98Y92 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y88 |
INT_L INT_L_X22Y88 TIEOFF TIEOFF[0,0] TIEOFF_X24Y88 |
INT_R INT_R_X23Y88 TIEOFF TIEOFF[0,0] TIEOFF_X25Y88 |
CLBLM_R CLBLM_R_X23Y88 SLICEM SLICE[0,0] SLICE_X32Y88 SLICEL SLICE[1,0] SLICE_X33Y88 |
CLBLM_L CLBLM_L_X24Y88 SLICEM SLICE[0,0] SLICE_X34Y88 SLICEL SLICE[1,0] SLICE_X35Y88 |
INT_L INT_L_X24Y88 TIEOFF TIEOFF[0,0] TIEOFF_X26Y88 |
INT_R INT_R_X25Y88 TIEOFF TIEOFF[0,0] TIEOFF_X27Y88 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y88 |
VBRK VBRK_X109Y92 |
CLBLL_L CLBLL_L_X26Y88 SLICEL SLICE[0,0] SLICE_X36Y88 SLICEL SLICE[1,0] SLICE_X37Y88 |
INT_L INT_L_X26Y88 TIEOFF TIEOFF[0,0] TIEOFF_X28Y88 |
INT_R INT_R_X27Y88 TIEOFF TIEOFF[0,0] TIEOFF_X29Y88 |
CLBLM_R CLBLM_R_X27Y88 SLICEM SLICE[0,0] SLICE_X38Y88 SLICEL SLICE[1,0] SLICE_X39Y88 |
CLBLL_L CLBLL_L_X28Y88 SLICEL SLICE[0,0] SLICE_X40Y88 SLICEL SLICE[1,0] SLICE_X41Y88 |
INT_L INT_L_X28Y88 TIEOFF TIEOFF[0,0] TIEOFF_X30Y88 |
INT_R INT_R_X29Y88 TIEOFF TIEOFF[0,0] TIEOFF_X31Y88 |
CLBLM_R CLBLM_R_X29Y88 SLICEM SLICE[0,0] SLICE_X42Y88 SLICEL SLICE[1,0] SLICE_X43Y88 |
VBRK VBRK_X118Y92 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y88 |
INT_L INT_L_X30Y88 TIEOFF TIEOFF[0,0] TIEOFF_X32Y88 |
INT_R INT_R_X31Y88 TIEOFF TIEOFF[0,0] TIEOFF_X33Y88 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y88 |
R_TERM_INT R_TERM_INT_X125Y92 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X31Y87 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y87 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y88 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y87 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y88 IDELAYE2 IDELAY[0,0] IDELAY_X0Y87 IDELAYE2 IDELAY[0,1] IDELAY_X0Y88 |
RIOB33 RIOB33_X31Y87 IOB33S IOB[0,0] IOB_X0Y87 IOB33M IOB[0,1] IOB_X0Y88 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y87 |
INT_L INT_L_X0Y87 TIEOFF TIEOFF[0,0] TIEOFF_X0Y87 |
INT_R INT_R_X1Y87 TIEOFF TIEOFF[0,0] TIEOFF_X1Y87 |
CLBLM_R CLBLM_R_X1Y87 SLICEM SLICE[0,0] SLICE_X0Y87 SLICEL SLICE[1,0] SLICE_X1Y87 |
CLBLL_L CLBLL_L_X2Y87 SLICEL SLICE[0,0] SLICE_X2Y87 SLICEL SLICE[1,0] SLICE_X3Y87 |
INT_L INT_L_X2Y87 TIEOFF TIEOFF[0,0] TIEOFF_X2Y87 |
INT_R INT_R_X3Y87 TIEOFF TIEOFF[0,0] TIEOFF_X3Y87 |
CLBLM_R CLBLM_R_X3Y87 SLICEM SLICE[0,0] SLICE_X4Y87 SLICEL SLICE[1,0] SLICE_X5Y87 |
VBRK VBRK_X39Y91 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y87 |
INT_L INT_L_X4Y87 TIEOFF TIEOFF[0,0] TIEOFF_X4Y87 |
INT_R INT_R_X5Y87 TIEOFF TIEOFF[0,0] TIEOFF_X5Y87 |
CLBLM_R CLBLM_R_X5Y87 SLICEM SLICE[0,0] SLICE_X6Y87 SLICEL SLICE[1,0] SLICE_X7Y87 |
CLBLM_L CLBLM_L_X6Y87 SLICEM SLICE[0,0] SLICE_X8Y87 SLICEL SLICE[1,0] SLICE_X9Y87 |
INT_L INT_L_X6Y87 TIEOFF TIEOFF[0,0] TIEOFF_X6Y87 |
INT_R INT_R_X7Y87 TIEOFF TIEOFF[0,0] TIEOFF_X7Y87 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y87 |
VBRK VBRK_X50Y91 |
CLBLM_L CLBLM_L_X8Y87 SLICEM SLICE[0,0] SLICE_X10Y87 SLICEL SLICE[1,0] SLICE_X11Y87 |
INT_L INT_L_X8Y87 TIEOFF TIEOFF[0,0] TIEOFF_X9Y87 |
INT_R INT_R_X9Y87 TIEOFF TIEOFF[0,0] TIEOFF_X10Y87 |
CLBLM_R CLBLM_R_X9Y87 SLICEM SLICE[0,0] SLICE_X12Y87 SLICEL SLICE[1,0] SLICE_X13Y87 |
VBRK VBRK_X55Y91 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y91 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y91 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y91 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y91 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y91 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y91 |
VFRAME VFRAME_X68Y91 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y87 |
INT_L INT_L_X10Y87 TIEOFF TIEOFF[0,0] TIEOFF_X11Y87 |
INT_R INT_R_X11Y87 TIEOFF TIEOFF[0,0] TIEOFF_X12Y87 |
CLBLL_R CLBLL_R_X11Y87 SLICEL SLICE[0,0] SLICE_X14Y87 SLICEL SLICE[1,0] SLICE_X15Y87 |
CLBLM_L CLBLM_L_X12Y87 SLICEM SLICE[0,0] SLICE_X16Y87 SLICEL SLICE[1,0] SLICE_X17Y87 |
INT_L INT_L_X12Y87 TIEOFF TIEOFF[0,0] TIEOFF_X13Y87 |
INT_R INT_R_X13Y87 TIEOFF TIEOFF[0,0] TIEOFF_X14Y87 |
CLBLL_R CLBLL_R_X13Y87 SLICEL SLICE[0,0] SLICE_X18Y87 SLICEL SLICE[1,0] SLICE_X19Y87 |
VBRK VBRK_X77Y91 |
CLBLM_L CLBLM_L_X14Y87 SLICEM SLICE[0,0] SLICE_X20Y87 SLICEL SLICE[1,0] SLICE_X21Y87 |
INT_L INT_L_X14Y87 TIEOFF TIEOFF[0,0] TIEOFF_X15Y87 |
INT_R INT_R_X15Y87 TIEOFF TIEOFF[0,0] TIEOFF_X16Y87 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y87 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X82Y90 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y80 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y81 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y82 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y83 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y84 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y85 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y86 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y87 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y88 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y89 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y90 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y91 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y92 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y93 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y94 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y95 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y80 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y81 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y82 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y83 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y84 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y85 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y86 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y87 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y88 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y89 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y90 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y91 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y92 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y93 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y94 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y95 |
VBRK VBRK_X83Y91 |
CLBLL_L CLBLL_L_X16Y87 SLICEL SLICE[0,0] SLICE_X22Y87 SLICEL SLICE[1,0] SLICE_X23Y87 |
INT_L INT_L_X16Y87 TIEOFF TIEOFF[0,0] TIEOFF_X17Y87 |
INT_R INT_R_X17Y87 TIEOFF TIEOFF[0,0] TIEOFF_X18Y87 |
CLBLM_R CLBLM_R_X17Y87 SLICEM SLICE[0,0] SLICE_X24Y87 SLICEL SLICE[1,0] SLICE_X25Y87 |
VBRK VBRK_X88Y91 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y87 |
INT_L INT_L_X18Y87 TIEOFF TIEOFF[0,0] TIEOFF_X19Y87 |
INT_R INT_R_X19Y87 TIEOFF TIEOFF[0,0] TIEOFF_X20Y87 |
CLBLL_R CLBLL_R_X19Y87 SLICEL SLICE[0,0] SLICE_X26Y87 SLICEL SLICE[1,0] SLICE_X27Y87 |
CLBLM_L CLBLM_L_X20Y87 SLICEM SLICE[0,0] SLICE_X28Y87 SLICEL SLICE[1,0] SLICE_X29Y87 |
INT_L INT_L_X20Y87 TIEOFF TIEOFF[0,0] TIEOFF_X21Y87 |
INT_R INT_R_X21Y87 TIEOFF TIEOFF[0,0] TIEOFF_X22Y87 |
CLBLM_R CLBLM_R_X21Y87 SLICEM SLICE[0,0] SLICE_X30Y87 SLICEL SLICE[1,0] SLICE_X31Y87 |
VBRK VBRK_X98Y91 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y87 |
INT_L INT_L_X22Y87 TIEOFF TIEOFF[0,0] TIEOFF_X24Y87 |
INT_R INT_R_X23Y87 TIEOFF TIEOFF[0,0] TIEOFF_X25Y87 |
CLBLM_R CLBLM_R_X23Y87 SLICEM SLICE[0,0] SLICE_X32Y87 SLICEL SLICE[1,0] SLICE_X33Y87 |
CLBLM_L CLBLM_L_X24Y87 SLICEM SLICE[0,0] SLICE_X34Y87 SLICEL SLICE[1,0] SLICE_X35Y87 |
INT_L INT_L_X24Y87 TIEOFF TIEOFF[0,0] TIEOFF_X26Y87 |
INT_R INT_R_X25Y87 TIEOFF TIEOFF[0,0] TIEOFF_X27Y87 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y87 |
VBRK VBRK_X109Y91 |
CLBLL_L CLBLL_L_X26Y87 SLICEL SLICE[0,0] SLICE_X36Y87 SLICEL SLICE[1,0] SLICE_X37Y87 |
INT_L INT_L_X26Y87 TIEOFF TIEOFF[0,0] TIEOFF_X28Y87 |
INT_R INT_R_X27Y87 TIEOFF TIEOFF[0,0] TIEOFF_X29Y87 |
CLBLM_R CLBLM_R_X27Y87 SLICEM SLICE[0,0] SLICE_X38Y87 SLICEL SLICE[1,0] SLICE_X39Y87 |
CLBLL_L CLBLL_L_X28Y87 SLICEL SLICE[0,0] SLICE_X40Y87 SLICEL SLICE[1,0] SLICE_X41Y87 |
INT_L INT_L_X28Y87 TIEOFF TIEOFF[0,0] TIEOFF_X30Y87 |
INT_R INT_R_X29Y87 TIEOFF TIEOFF[0,0] TIEOFF_X31Y87 |
CLBLM_R CLBLM_R_X29Y87 SLICEM SLICE[0,0] SLICE_X42Y87 SLICEL SLICE[1,0] SLICE_X43Y87 |
VBRK VBRK_X118Y91 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y87 |
INT_L INT_L_X30Y87 TIEOFF TIEOFF[0,0] TIEOFF_X32Y87 |
INT_R INT_R_X31Y87 TIEOFF TIEOFF[0,0] TIEOFF_X33Y87 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y87 |
R_TERM_INT R_TERM_INT_X125Y91 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y86 |
INT_L INT_L_X0Y86 TIEOFF TIEOFF[0,0] TIEOFF_X0Y86 |
INT_R INT_R_X1Y86 TIEOFF TIEOFF[0,0] TIEOFF_X1Y86 |
CLBLM_R CLBLM_R_X1Y86 SLICEM SLICE[0,0] SLICE_X0Y86 SLICEL SLICE[1,0] SLICE_X1Y86 |
CLBLL_L CLBLL_L_X2Y86 SLICEL SLICE[0,0] SLICE_X2Y86 SLICEL SLICE[1,0] SLICE_X3Y86 |
INT_L INT_L_X2Y86 TIEOFF TIEOFF[0,0] TIEOFF_X2Y86 |
INT_R INT_R_X3Y86 TIEOFF TIEOFF[0,0] TIEOFF_X3Y86 |
CLBLM_R CLBLM_R_X3Y86 SLICEM SLICE[0,0] SLICE_X4Y86 SLICEL SLICE[1,0] SLICE_X5Y86 |
VBRK VBRK_X39Y90 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y86 |
INT_L INT_L_X4Y86 TIEOFF TIEOFF[0,0] TIEOFF_X4Y86 |
INT_R INT_R_X5Y86 TIEOFF TIEOFF[0,0] TIEOFF_X5Y86 |
CLBLM_R CLBLM_R_X5Y86 SLICEM SLICE[0,0] SLICE_X6Y86 SLICEL SLICE[1,0] SLICE_X7Y86 |
CLBLM_L CLBLM_L_X6Y86 SLICEM SLICE[0,0] SLICE_X8Y86 SLICEL SLICE[1,0] SLICE_X9Y86 |
INT_L INT_L_X6Y86 TIEOFF TIEOFF[0,0] TIEOFF_X6Y86 |
INT_R INT_R_X7Y86 TIEOFF TIEOFF[0,0] TIEOFF_X7Y86 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y86 |
VBRK VBRK_X50Y90 |
CLBLM_L CLBLM_L_X8Y86 SLICEM SLICE[0,0] SLICE_X10Y86 SLICEL SLICE[1,0] SLICE_X11Y86 |
INT_L INT_L_X8Y86 TIEOFF TIEOFF[0,0] TIEOFF_X9Y86 |
INT_R INT_R_X9Y86 TIEOFF TIEOFF[0,0] TIEOFF_X10Y86 |
CLBLM_R CLBLM_R_X9Y86 SLICEM SLICE[0,0] SLICE_X12Y86 SLICEL SLICE[1,0] SLICE_X13Y86 |
VBRK VBRK_X55Y90 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y90 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y90 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y90 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y90 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y90 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y90 |
VFRAME VFRAME_X68Y90 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y86 |
INT_L INT_L_X10Y86 TIEOFF TIEOFF[0,0] TIEOFF_X11Y86 |
INT_R INT_R_X11Y86 TIEOFF TIEOFF[0,0] TIEOFF_X12Y86 |
CLBLL_R CLBLL_R_X11Y86 SLICEL SLICE[0,0] SLICE_X14Y86 SLICEL SLICE[1,0] SLICE_X15Y86 |
CLBLM_L CLBLM_L_X12Y86 SLICEM SLICE[0,0] SLICE_X16Y86 SLICEL SLICE[1,0] SLICE_X17Y86 |
INT_L INT_L_X12Y86 TIEOFF TIEOFF[0,0] TIEOFF_X13Y86 |
INT_R INT_R_X13Y86 TIEOFF TIEOFF[0,0] TIEOFF_X14Y86 |
CLBLL_R CLBLL_R_X13Y86 SLICEL SLICE[0,0] SLICE_X18Y86 SLICEL SLICE[1,0] SLICE_X19Y86 |
VBRK VBRK_X77Y90 |
CLBLM_L CLBLM_L_X14Y86 SLICEM SLICE[0,0] SLICE_X20Y86 SLICEL SLICE[1,0] SLICE_X21Y86 |
INT_L INT_L_X14Y86 TIEOFF TIEOFF[0,0] TIEOFF_X15Y86 |
INT_R INT_R_X15Y86 TIEOFF TIEOFF[0,0] TIEOFF_X16Y86 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y86 |
VBRK VBRK_X83Y90 |
CLBLL_L CLBLL_L_X16Y86 SLICEL SLICE[0,0] SLICE_X22Y86 SLICEL SLICE[1,0] SLICE_X23Y86 |
INT_L INT_L_X16Y86 TIEOFF TIEOFF[0,0] TIEOFF_X17Y86 |
INT_R INT_R_X17Y86 TIEOFF TIEOFF[0,0] TIEOFF_X18Y86 |
CLBLM_R CLBLM_R_X17Y86 SLICEM SLICE[0,0] SLICE_X24Y86 SLICEL SLICE[1,0] SLICE_X25Y86 |
VBRK VBRK_X88Y90 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y86 |
INT_L INT_L_X18Y86 TIEOFF TIEOFF[0,0] TIEOFF_X19Y86 |
INT_R INT_R_X19Y86 TIEOFF TIEOFF[0,0] TIEOFF_X20Y86 |
CLBLL_R CLBLL_R_X19Y86 SLICEL SLICE[0,0] SLICE_X26Y86 SLICEL SLICE[1,0] SLICE_X27Y86 |
CLBLM_L CLBLM_L_X20Y86 SLICEM SLICE[0,0] SLICE_X28Y86 SLICEL SLICE[1,0] SLICE_X29Y86 |
INT_L INT_L_X20Y86 TIEOFF TIEOFF[0,0] TIEOFF_X21Y86 |
INT_R INT_R_X21Y86 TIEOFF TIEOFF[0,0] TIEOFF_X22Y86 |
CLBLM_R CLBLM_R_X21Y86 SLICEM SLICE[0,0] SLICE_X30Y86 SLICEL SLICE[1,0] SLICE_X31Y86 |
VBRK VBRK_X98Y90 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y86 |
INT_L INT_L_X22Y86 TIEOFF TIEOFF[0,0] TIEOFF_X24Y86 |
INT_R INT_R_X23Y86 TIEOFF TIEOFF[0,0] TIEOFF_X25Y86 |
CLBLM_R CLBLM_R_X23Y86 SLICEM SLICE[0,0] SLICE_X32Y86 SLICEL SLICE[1,0] SLICE_X33Y86 |
CLBLM_L CLBLM_L_X24Y86 SLICEM SLICE[0,0] SLICE_X34Y86 SLICEL SLICE[1,0] SLICE_X35Y86 |
INT_L INT_L_X24Y86 TIEOFF TIEOFF[0,0] TIEOFF_X26Y86 |
INT_R INT_R_X25Y86 TIEOFF TIEOFF[0,0] TIEOFF_X27Y86 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y86 |
VBRK VBRK_X109Y90 |
CLBLL_L CLBLL_L_X26Y86 SLICEL SLICE[0,0] SLICE_X36Y86 SLICEL SLICE[1,0] SLICE_X37Y86 |
INT_L INT_L_X26Y86 TIEOFF TIEOFF[0,0] TIEOFF_X28Y86 |
INT_R INT_R_X27Y86 TIEOFF TIEOFF[0,0] TIEOFF_X29Y86 |
CLBLM_R CLBLM_R_X27Y86 SLICEM SLICE[0,0] SLICE_X38Y86 SLICEL SLICE[1,0] SLICE_X39Y86 |
CLBLL_L CLBLL_L_X28Y86 SLICEL SLICE[0,0] SLICE_X40Y86 SLICEL SLICE[1,0] SLICE_X41Y86 |
INT_L INT_L_X28Y86 TIEOFF TIEOFF[0,0] TIEOFF_X30Y86 |
INT_R INT_R_X29Y86 TIEOFF TIEOFF[0,0] TIEOFF_X31Y86 |
CLBLM_R CLBLM_R_X29Y86 SLICEM SLICE[0,0] SLICE_X42Y86 SLICEL SLICE[1,0] SLICE_X43Y86 |
VBRK VBRK_X118Y90 |
CMT_TOP_L_UPPER_B CMT_TOP_L_UPPER_B_X119Y83 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y6 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y7 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y6 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y7 PHASER_REF PHASER_REF[0,0] PHASER_REF_X0Y1 PHY_CONTROL PHY_CONTROL[0,0] PHY_CONTROL_X0Y1 |
CMT_FIFO_L CMT_FIFO_L_X120Y85 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y6 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y86 |
INT_L INT_L_X30Y86 TIEOFF TIEOFF[0,0] TIEOFF_X32Y86 |
INT_R INT_R_X31Y86 TIEOFF TIEOFF[0,0] TIEOFF_X33Y86 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y86 |
R_TERM_INT R_TERM_INT_X125Y90 |
RIOI3 RIOI3_X31Y85 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y85 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y86 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y85 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y86 IDELAYE2 IDELAY[0,0] IDELAY_X0Y85 IDELAYE2 IDELAY[0,1] IDELAY_X0Y86 |
RIOB33 RIOB33_X31Y85 IOB33S IOB[0,0] IOB_X0Y85 IOB33M IOB[0,1] IOB_X0Y86 |
|||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y85 |
INT_L INT_L_X0Y85 TIEOFF TIEOFF[0,0] TIEOFF_X0Y85 |
INT_R INT_R_X1Y85 TIEOFF TIEOFF[0,0] TIEOFF_X1Y85 |
CLBLM_R CLBLM_R_X1Y85 SLICEM SLICE[0,0] SLICE_X0Y85 SLICEL SLICE[1,0] SLICE_X1Y85 |
CLBLL_L CLBLL_L_X2Y85 SLICEL SLICE[0,0] SLICE_X2Y85 SLICEL SLICE[1,0] SLICE_X3Y85 |
INT_L INT_L_X2Y85 TIEOFF TIEOFF[0,0] TIEOFF_X2Y85 |
INT_R INT_R_X3Y85 TIEOFF TIEOFF[0,0] TIEOFF_X3Y85 |
CLBLM_R CLBLM_R_X3Y85 SLICEM SLICE[0,0] SLICE_X4Y85 SLICEL SLICE[1,0] SLICE_X5Y85 |
VBRK VBRK_X39Y89 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y85 |
INT_L INT_L_X4Y85 TIEOFF TIEOFF[0,0] TIEOFF_X4Y85 |
INT_R INT_R_X5Y85 TIEOFF TIEOFF[0,0] TIEOFF_X5Y85 |
CLBLM_R CLBLM_R_X5Y85 SLICEM SLICE[0,0] SLICE_X6Y85 SLICEL SLICE[1,0] SLICE_X7Y85 |
CLBLM_L CLBLM_L_X6Y85 SLICEM SLICE[0,0] SLICE_X8Y85 SLICEL SLICE[1,0] SLICE_X9Y85 |
INT_L INT_L_X6Y85 TIEOFF TIEOFF[0,0] TIEOFF_X6Y85 |
INT_R INT_R_X7Y85 TIEOFF TIEOFF[0,0] TIEOFF_X7Y85 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y85 |
VBRK VBRK_X50Y89 |
CLBLM_L CLBLM_L_X8Y85 SLICEM SLICE[0,0] SLICE_X10Y85 SLICEL SLICE[1,0] SLICE_X11Y85 |
INT_L INT_L_X8Y85 TIEOFF TIEOFF[0,0] TIEOFF_X9Y85 |
INT_R INT_R_X9Y85 TIEOFF TIEOFF[0,0] TIEOFF_X10Y85 |
CLBLM_R CLBLM_R_X9Y85 SLICEM SLICE[0,0] SLICE_X12Y85 SLICEL SLICE[1,0] SLICE_X13Y85 |
VBRK VBRK_X55Y89 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y89 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y89 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y89 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y89 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y89 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y89 |
VFRAME VFRAME_X68Y89 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y85 |
INT_L INT_L_X10Y85 TIEOFF TIEOFF[0,0] TIEOFF_X11Y85 |
INT_R INT_R_X11Y85 TIEOFF TIEOFF[0,0] TIEOFF_X12Y85 |
CLBLL_R CLBLL_R_X11Y85 SLICEL SLICE[0,0] SLICE_X14Y85 SLICEL SLICE[1,0] SLICE_X15Y85 |
CLBLM_L CLBLM_L_X12Y85 SLICEM SLICE[0,0] SLICE_X16Y85 SLICEL SLICE[1,0] SLICE_X17Y85 |
INT_L INT_L_X12Y85 TIEOFF TIEOFF[0,0] TIEOFF_X13Y85 |
INT_R INT_R_X13Y85 TIEOFF TIEOFF[0,0] TIEOFF_X14Y85 |
CLBLL_R CLBLL_R_X13Y85 SLICEL SLICE[0,0] SLICE_X18Y85 SLICEL SLICE[1,0] SLICE_X19Y85 |
VBRK VBRK_X77Y89 |
CLBLM_L CLBLM_L_X14Y85 SLICEM SLICE[0,0] SLICE_X20Y85 SLICEL SLICE[1,0] SLICE_X21Y85 |
INT_L INT_L_X14Y85 TIEOFF TIEOFF[0,0] TIEOFF_X15Y85 |
INT_R INT_R_X15Y85 TIEOFF TIEOFF[0,0] TIEOFF_X16Y85 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y85 |
CLK_FEED CLK_FEED_X82Y89 |
VBRK VBRK_X83Y89 |
CLBLL_L CLBLL_L_X16Y85 SLICEL SLICE[0,0] SLICE_X22Y85 SLICEL SLICE[1,0] SLICE_X23Y85 |
INT_L INT_L_X16Y85 TIEOFF TIEOFF[0,0] TIEOFF_X17Y85 |
INT_R INT_R_X17Y85 TIEOFF TIEOFF[0,0] TIEOFF_X18Y85 |
CLBLM_R CLBLM_R_X17Y85 SLICEM SLICE[0,0] SLICE_X24Y85 SLICEL SLICE[1,0] SLICE_X25Y85 |
VBRK VBRK_X88Y89 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y85 |
INT_L INT_L_X18Y85 TIEOFF TIEOFF[0,0] TIEOFF_X19Y85 |
INT_R INT_R_X19Y85 TIEOFF TIEOFF[0,0] TIEOFF_X20Y85 |
CLBLL_R CLBLL_R_X19Y85 SLICEL SLICE[0,0] SLICE_X26Y85 SLICEL SLICE[1,0] SLICE_X27Y85 |
CLBLM_L CLBLM_L_X20Y85 SLICEM SLICE[0,0] SLICE_X28Y85 SLICEL SLICE[1,0] SLICE_X29Y85 |
INT_L INT_L_X20Y85 TIEOFF TIEOFF[0,0] TIEOFF_X21Y85 |
INT_R INT_R_X21Y85 TIEOFF TIEOFF[0,0] TIEOFF_X22Y85 |
CLBLM_R CLBLM_R_X21Y85 SLICEM SLICE[0,0] SLICE_X30Y85 SLICEL SLICE[1,0] SLICE_X31Y85 |
VBRK VBRK_X98Y89 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y85 |
INT_L INT_L_X22Y85 TIEOFF TIEOFF[0,0] TIEOFF_X24Y85 |
INT_R INT_R_X23Y85 TIEOFF TIEOFF[0,0] TIEOFF_X25Y85 |
CLBLM_R CLBLM_R_X23Y85 SLICEM SLICE[0,0] SLICE_X32Y85 SLICEL SLICE[1,0] SLICE_X33Y85 |
CLBLM_L CLBLM_L_X24Y85 SLICEM SLICE[0,0] SLICE_X34Y85 SLICEL SLICE[1,0] SLICE_X35Y85 |
INT_L INT_L_X24Y85 TIEOFF TIEOFF[0,0] TIEOFF_X26Y85 |
INT_R INT_R_X25Y85 TIEOFF TIEOFF[0,0] TIEOFF_X27Y85 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y85 |
VBRK VBRK_X109Y89 |
CLBLL_L CLBLL_L_X26Y85 SLICEL SLICE[0,0] SLICE_X36Y85 SLICEL SLICE[1,0] SLICE_X37Y85 |
INT_L INT_L_X26Y85 TIEOFF TIEOFF[0,0] TIEOFF_X28Y85 |
INT_R INT_R_X27Y85 TIEOFF TIEOFF[0,0] TIEOFF_X29Y85 |
CLBLM_R CLBLM_R_X27Y85 SLICEM SLICE[0,0] SLICE_X38Y85 SLICEL SLICE[1,0] SLICE_X39Y85 |
CLBLL_L CLBLL_L_X28Y85 SLICEL SLICE[0,0] SLICE_X40Y85 SLICEL SLICE[1,0] SLICE_X41Y85 |
INT_L INT_L_X28Y85 TIEOFF TIEOFF[0,0] TIEOFF_X30Y85 |
INT_R INT_R_X29Y85 TIEOFF TIEOFF[0,0] TIEOFF_X31Y85 |
CLBLM_R CLBLM_R_X29Y85 SLICEM SLICE[0,0] SLICE_X42Y85 SLICEL SLICE[1,0] SLICE_X43Y85 |
VBRK VBRK_X118Y89 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y85 |
INT_L INT_L_X30Y85 TIEOFF TIEOFF[0,0] TIEOFF_X32Y85 |
INT_R INT_R_X31Y85 TIEOFF TIEOFF[0,0] TIEOFF_X33Y85 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y85 |
R_TERM_INT R_TERM_INT_X125Y89 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y84 |
INT_L INT_L_X0Y84 TIEOFF TIEOFF[0,0] TIEOFF_X0Y84 |
INT_R INT_R_X1Y84 TIEOFF TIEOFF[0,0] TIEOFF_X1Y84 |
CLBLM_R CLBLM_R_X1Y84 SLICEM SLICE[0,0] SLICE_X0Y84 SLICEL SLICE[1,0] SLICE_X1Y84 |
CLBLL_L CLBLL_L_X2Y84 SLICEL SLICE[0,0] SLICE_X2Y84 SLICEL SLICE[1,0] SLICE_X3Y84 |
INT_L INT_L_X2Y84 TIEOFF TIEOFF[0,0] TIEOFF_X2Y84 |
INT_R INT_R_X3Y84 TIEOFF TIEOFF[0,0] TIEOFF_X3Y84 |
CLBLM_R CLBLM_R_X3Y84 SLICEM SLICE[0,0] SLICE_X4Y84 SLICEL SLICE[1,0] SLICE_X5Y84 |
VBRK VBRK_X39Y88 |
BRAM_L BRAM_L_X4Y80 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y16 FIFO18E1 RAMB18[0,0] RAMB18_X0Y32 RAMB18E1 RAMB18[0,1] RAMB18_X0Y33 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y84 |
INT_L INT_L_X4Y84 TIEOFF TIEOFF[0,0] TIEOFF_X4Y84 |
INT_R INT_R_X5Y84 TIEOFF TIEOFF[0,0] TIEOFF_X5Y84 |
CLBLM_R CLBLM_R_X5Y84 SLICEM SLICE[0,0] SLICE_X6Y84 SLICEL SLICE[1,0] SLICE_X7Y84 |
CLBLM_L CLBLM_L_X6Y84 SLICEM SLICE[0,0] SLICE_X8Y84 SLICEL SLICE[1,0] SLICE_X9Y84 |
INT_L INT_L_X6Y84 TIEOFF TIEOFF[0,0] TIEOFF_X6Y84 |
INT_R INT_R_X7Y84 TIEOFF TIEOFF[0,0] TIEOFF_X7Y84 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y84 |
DSP_R DSP_R_X7Y80 TIEOFF TIEOFF[0,0] TIEOFF_X8Y80 DSP48E1 DSP48[0,0] DSP48_X0Y32 DSP48E1 DSP48[0,1] DSP48_X0Y33 |
VBRK VBRK_X50Y88 |
CLBLM_L CLBLM_L_X8Y84 SLICEM SLICE[0,0] SLICE_X10Y84 SLICEL SLICE[1,0] SLICE_X11Y84 |
INT_L INT_L_X8Y84 TIEOFF TIEOFF[0,0] TIEOFF_X9Y84 |
INT_R INT_R_X9Y84 TIEOFF TIEOFF[0,0] TIEOFF_X10Y84 |
CLBLM_R CLBLM_R_X9Y84 SLICEM SLICE[0,0] SLICE_X12Y84 SLICEL SLICE[1,0] SLICE_X13Y84 |
VBRK VBRK_X55Y88 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y88 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y88 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y88 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y88 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y88 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y88 |
MONITOR_BOT_PELE1 MONITOR_BOT_PELE1_X67Y79 IPAD IPAD[0,0] IPAD_X0Y0 IPAD IPAD[0,1] IPAD_X0Y1 XADC XADC[0,0] XADC_X0Y0 |
VFRAME VFRAME_X68Y88 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y84 |
INT_L INT_L_X10Y84 TIEOFF TIEOFF[0,0] TIEOFF_X11Y84 |
INT_R INT_R_X11Y84 TIEOFF TIEOFF[0,0] TIEOFF_X12Y84 |
CLBLL_R CLBLL_R_X11Y84 SLICEL SLICE[0,0] SLICE_X14Y84 SLICEL SLICE[1,0] SLICE_X15Y84 |
CLBLM_L CLBLM_L_X12Y84 SLICEM SLICE[0,0] SLICE_X16Y84 SLICEL SLICE[1,0] SLICE_X17Y84 |
INT_L INT_L_X12Y84 TIEOFF TIEOFF[0,0] TIEOFF_X13Y84 |
INT_R INT_R_X13Y84 TIEOFF TIEOFF[0,0] TIEOFF_X14Y84 |
CLBLL_R CLBLL_R_X13Y84 SLICEL SLICE[0,0] SLICE_X18Y84 SLICEL SLICE[1,0] SLICE_X19Y84 |
VBRK VBRK_X77Y88 |
CLBLM_L CLBLM_L_X14Y84 SLICEM SLICE[0,0] SLICE_X20Y84 SLICEL SLICE[1,0] SLICE_X21Y84 |
INT_L INT_L_X14Y84 TIEOFF TIEOFF[0,0] TIEOFF_X15Y84 |
INT_R INT_R_X15Y84 TIEOFF TIEOFF[0,0] TIEOFF_X16Y84 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y84 |
CLK_FEED CLK_FEED_X82Y88 |
VBRK VBRK_X83Y88 |
CLBLL_L CLBLL_L_X16Y84 SLICEL SLICE[0,0] SLICE_X22Y84 SLICEL SLICE[1,0] SLICE_X23Y84 |
INT_L INT_L_X16Y84 TIEOFF TIEOFF[0,0] TIEOFF_X17Y84 |
INT_R INT_R_X17Y84 TIEOFF TIEOFF[0,0] TIEOFF_X18Y84 |
CLBLM_R CLBLM_R_X17Y84 SLICEM SLICE[0,0] SLICE_X24Y84 SLICEL SLICE[1,0] SLICE_X25Y84 |
VBRK VBRK_X88Y88 |
BRAM_L BRAM_L_X18Y80 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y16 FIFO18E1 RAMB18[0,0] RAMB18_X1Y32 RAMB18E1 RAMB18[0,1] RAMB18_X1Y33 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y84 |
INT_L INT_L_X18Y84 TIEOFF TIEOFF[0,0] TIEOFF_X19Y84 |
INT_R INT_R_X19Y84 TIEOFF TIEOFF[0,0] TIEOFF_X20Y84 |
CLBLL_R CLBLL_R_X19Y84 SLICEL SLICE[0,0] SLICE_X26Y84 SLICEL SLICE[1,0] SLICE_X27Y84 |
CLBLM_L CLBLM_L_X20Y84 SLICEM SLICE[0,0] SLICE_X28Y84 SLICEL SLICE[1,0] SLICE_X29Y84 |
INT_L INT_L_X20Y84 TIEOFF TIEOFF[0,0] TIEOFF_X21Y84 |
INT_R INT_R_X21Y84 TIEOFF TIEOFF[0,0] TIEOFF_X22Y84 |
CLBLM_R CLBLM_R_X21Y84 SLICEM SLICE[0,0] SLICE_X30Y84 SLICEL SLICE[1,0] SLICE_X31Y84 |
VBRK VBRK_X98Y88 |
DSP_L DSP_L_X22Y80 TIEOFF TIEOFF[0,0] TIEOFF_X23Y80 DSP48E1 DSP48[0,0] DSP48_X1Y32 DSP48E1 DSP48[0,1] DSP48_X1Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y84 |
INT_L INT_L_X22Y84 TIEOFF TIEOFF[0,0] TIEOFF_X24Y84 |
INT_R INT_R_X23Y84 TIEOFF TIEOFF[0,0] TIEOFF_X25Y84 |
CLBLM_R CLBLM_R_X23Y84 SLICEM SLICE[0,0] SLICE_X32Y84 SLICEL SLICE[1,0] SLICE_X33Y84 |
CLBLM_L CLBLM_L_X24Y84 SLICEM SLICE[0,0] SLICE_X34Y84 SLICEL SLICE[1,0] SLICE_X35Y84 |
INT_L INT_L_X24Y84 TIEOFF TIEOFF[0,0] TIEOFF_X26Y84 |
INT_R INT_R_X25Y84 TIEOFF TIEOFF[0,0] TIEOFF_X27Y84 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y84 |
BRAM_R BRAM_R_X25Y80 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y16 FIFO18E1 RAMB18[0,0] RAMB18_X2Y32 RAMB18E1 RAMB18[0,1] RAMB18_X2Y33 |
VBRK VBRK_X109Y88 |
CLBLL_L CLBLL_L_X26Y84 SLICEL SLICE[0,0] SLICE_X36Y84 SLICEL SLICE[1,0] SLICE_X37Y84 |
INT_L INT_L_X26Y84 TIEOFF TIEOFF[0,0] TIEOFF_X28Y84 |
INT_R INT_R_X27Y84 TIEOFF TIEOFF[0,0] TIEOFF_X29Y84 |
CLBLM_R CLBLM_R_X27Y84 SLICEM SLICE[0,0] SLICE_X38Y84 SLICEL SLICE[1,0] SLICE_X39Y84 |
CLBLL_L CLBLL_L_X28Y84 SLICEL SLICE[0,0] SLICE_X40Y84 SLICEL SLICE[1,0] SLICE_X41Y84 |
INT_L INT_L_X28Y84 TIEOFF TIEOFF[0,0] TIEOFF_X30Y84 |
INT_R INT_R_X29Y84 TIEOFF TIEOFF[0,0] TIEOFF_X31Y84 |
CLBLM_R CLBLM_R_X29Y84 SLICEM SLICE[0,0] SLICE_X42Y84 SLICEL SLICE[1,0] SLICE_X43Y84 |
VBRK VBRK_X118Y88 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y84 |
INT_L INT_L_X30Y84 TIEOFF TIEOFF[0,0] TIEOFF_X32Y84 |
INT_R INT_R_X31Y84 TIEOFF TIEOFF[0,0] TIEOFF_X33Y84 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y84 |
R_TERM_INT R_TERM_INT_X125Y88 |
RIOI3 RIOI3_X31Y83 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y83 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y84 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y83 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y84 IDELAYE2 IDELAY[0,0] IDELAY_X0Y83 IDELAYE2 IDELAY[0,1] IDELAY_X0Y84 |
RIOB33 RIOB33_X31Y83 IOB33S IOB[0,0] IOB_X0Y83 IOB33M IOB[0,1] IOB_X0Y84 |
||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y83 |
INT_L INT_L_X0Y83 TIEOFF TIEOFF[0,0] TIEOFF_X0Y83 |
INT_R INT_R_X1Y83 TIEOFF TIEOFF[0,0] TIEOFF_X1Y83 |
CLBLM_R CLBLM_R_X1Y83 SLICEM SLICE[0,0] SLICE_X0Y83 SLICEL SLICE[1,0] SLICE_X1Y83 |
CLBLL_L CLBLL_L_X2Y83 SLICEL SLICE[0,0] SLICE_X2Y83 SLICEL SLICE[1,0] SLICE_X3Y83 |
INT_L INT_L_X2Y83 TIEOFF TIEOFF[0,0] TIEOFF_X2Y83 |
INT_R INT_R_X3Y83 TIEOFF TIEOFF[0,0] TIEOFF_X3Y83 |
CLBLM_R CLBLM_R_X3Y83 SLICEM SLICE[0,0] SLICE_X4Y83 SLICEL SLICE[1,0] SLICE_X5Y83 |
VBRK VBRK_X39Y87 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y83 |
INT_L INT_L_X4Y83 TIEOFF TIEOFF[0,0] TIEOFF_X4Y83 |
INT_R INT_R_X5Y83 TIEOFF TIEOFF[0,0] TIEOFF_X5Y83 |
CLBLM_R CLBLM_R_X5Y83 SLICEM SLICE[0,0] SLICE_X6Y83 SLICEL SLICE[1,0] SLICE_X7Y83 |
CLBLM_L CLBLM_L_X6Y83 SLICEM SLICE[0,0] SLICE_X8Y83 SLICEL SLICE[1,0] SLICE_X9Y83 |
INT_L INT_L_X6Y83 TIEOFF TIEOFF[0,0] TIEOFF_X6Y83 |
INT_R INT_R_X7Y83 TIEOFF TIEOFF[0,0] TIEOFF_X7Y83 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y83 |
VBRK VBRK_X50Y87 |
CLBLM_L CLBLM_L_X8Y83 SLICEM SLICE[0,0] SLICE_X10Y83 SLICEL SLICE[1,0] SLICE_X11Y83 |
INT_L INT_L_X8Y83 TIEOFF TIEOFF[0,0] TIEOFF_X9Y83 |
INT_R INT_R_X9Y83 TIEOFF TIEOFF[0,0] TIEOFF_X10Y83 |
CLBLM_R CLBLM_R_X9Y83 SLICEM SLICE[0,0] SLICE_X12Y83 SLICEL SLICE[1,0] SLICE_X13Y83 |
VBRK VBRK_X55Y87 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y87 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y87 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y87 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y87 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y87 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y87 |
VFRAME VFRAME_X68Y87 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y83 |
INT_L INT_L_X10Y83 TIEOFF TIEOFF[0,0] TIEOFF_X11Y83 |
INT_R INT_R_X11Y83 TIEOFF TIEOFF[0,0] TIEOFF_X12Y83 |
CLBLL_R CLBLL_R_X11Y83 SLICEL SLICE[0,0] SLICE_X14Y83 SLICEL SLICE[1,0] SLICE_X15Y83 |
CLBLM_L CLBLM_L_X12Y83 SLICEM SLICE[0,0] SLICE_X16Y83 SLICEL SLICE[1,0] SLICE_X17Y83 |
INT_L INT_L_X12Y83 TIEOFF TIEOFF[0,0] TIEOFF_X13Y83 |
INT_R INT_R_X13Y83 TIEOFF TIEOFF[0,0] TIEOFF_X14Y83 |
CLBLL_R CLBLL_R_X13Y83 SLICEL SLICE[0,0] SLICE_X18Y83 SLICEL SLICE[1,0] SLICE_X19Y83 |
VBRK VBRK_X77Y87 |
CLBLM_L CLBLM_L_X14Y83 SLICEM SLICE[0,0] SLICE_X20Y83 SLICEL SLICE[1,0] SLICE_X21Y83 |
INT_L INT_L_X14Y83 TIEOFF TIEOFF[0,0] TIEOFF_X15Y83 |
INT_R INT_R_X15Y83 TIEOFF TIEOFF[0,0] TIEOFF_X16Y83 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y83 |
CLK_FEED CLK_FEED_X82Y87 |
VBRK VBRK_X83Y87 |
CLBLL_L CLBLL_L_X16Y83 SLICEL SLICE[0,0] SLICE_X22Y83 SLICEL SLICE[1,0] SLICE_X23Y83 |
INT_L INT_L_X16Y83 TIEOFF TIEOFF[0,0] TIEOFF_X17Y83 |
INT_R INT_R_X17Y83 TIEOFF TIEOFF[0,0] TIEOFF_X18Y83 |
CLBLM_R CLBLM_R_X17Y83 SLICEM SLICE[0,0] SLICE_X24Y83 SLICEL SLICE[1,0] SLICE_X25Y83 |
VBRK VBRK_X88Y87 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y83 |
INT_L INT_L_X18Y83 TIEOFF TIEOFF[0,0] TIEOFF_X19Y83 |
INT_R INT_R_X19Y83 TIEOFF TIEOFF[0,0] TIEOFF_X20Y83 |
CLBLL_R CLBLL_R_X19Y83 SLICEL SLICE[0,0] SLICE_X26Y83 SLICEL SLICE[1,0] SLICE_X27Y83 |
CLBLM_L CLBLM_L_X20Y83 SLICEM SLICE[0,0] SLICE_X28Y83 SLICEL SLICE[1,0] SLICE_X29Y83 |
INT_L INT_L_X20Y83 TIEOFF TIEOFF[0,0] TIEOFF_X21Y83 |
INT_R INT_R_X21Y83 TIEOFF TIEOFF[0,0] TIEOFF_X22Y83 |
CLBLM_R CLBLM_R_X21Y83 SLICEM SLICE[0,0] SLICE_X30Y83 SLICEL SLICE[1,0] SLICE_X31Y83 |
VBRK VBRK_X98Y87 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y83 |
INT_L INT_L_X22Y83 TIEOFF TIEOFF[0,0] TIEOFF_X24Y83 |
INT_R INT_R_X23Y83 TIEOFF TIEOFF[0,0] TIEOFF_X25Y83 |
CLBLM_R CLBLM_R_X23Y83 SLICEM SLICE[0,0] SLICE_X32Y83 SLICEL SLICE[1,0] SLICE_X33Y83 |
CLBLM_L CLBLM_L_X24Y83 SLICEM SLICE[0,0] SLICE_X34Y83 SLICEL SLICE[1,0] SLICE_X35Y83 |
INT_L INT_L_X24Y83 TIEOFF TIEOFF[0,0] TIEOFF_X26Y83 |
INT_R INT_R_X25Y83 TIEOFF TIEOFF[0,0] TIEOFF_X27Y83 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y83 |
VBRK VBRK_X109Y87 |
CLBLL_L CLBLL_L_X26Y83 SLICEL SLICE[0,0] SLICE_X36Y83 SLICEL SLICE[1,0] SLICE_X37Y83 |
INT_L INT_L_X26Y83 TIEOFF TIEOFF[0,0] TIEOFF_X28Y83 |
INT_R INT_R_X27Y83 TIEOFF TIEOFF[0,0] TIEOFF_X29Y83 |
CLBLM_R CLBLM_R_X27Y83 SLICEM SLICE[0,0] SLICE_X38Y83 SLICEL SLICE[1,0] SLICE_X39Y83 |
CLBLL_L CLBLL_L_X28Y83 SLICEL SLICE[0,0] SLICE_X40Y83 SLICEL SLICE[1,0] SLICE_X41Y83 |
INT_L INT_L_X28Y83 TIEOFF TIEOFF[0,0] TIEOFF_X30Y83 |
INT_R INT_R_X29Y83 TIEOFF TIEOFF[0,0] TIEOFF_X31Y83 |
CLBLM_R CLBLM_R_X29Y83 SLICEM SLICE[0,0] SLICE_X42Y83 SLICEL SLICE[1,0] SLICE_X43Y83 |
VBRK VBRK_X118Y87 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y83 |
INT_L INT_L_X30Y83 TIEOFF TIEOFF[0,0] TIEOFF_X32Y83 |
INT_R INT_R_X31Y83 TIEOFF TIEOFF[0,0] TIEOFF_X33Y83 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y83 |
R_TERM_INT R_TERM_INT_X125Y87 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y82 |
INT_L INT_L_X0Y82 TIEOFF TIEOFF[0,0] TIEOFF_X0Y82 |
INT_R INT_R_X1Y82 TIEOFF TIEOFF[0,0] TIEOFF_X1Y82 |
CLBLM_R CLBLM_R_X1Y82 SLICEM SLICE[0,0] SLICE_X0Y82 SLICEL SLICE[1,0] SLICE_X1Y82 |
CLBLL_L CLBLL_L_X2Y82 SLICEL SLICE[0,0] SLICE_X2Y82 SLICEL SLICE[1,0] SLICE_X3Y82 |
INT_L INT_L_X2Y82 TIEOFF TIEOFF[0,0] TIEOFF_X2Y82 |
INT_R INT_R_X3Y82 TIEOFF TIEOFF[0,0] TIEOFF_X3Y82 |
CLBLM_R CLBLM_R_X3Y82 SLICEM SLICE[0,0] SLICE_X4Y82 SLICEL SLICE[1,0] SLICE_X5Y82 |
VBRK VBRK_X39Y86 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y82 |
INT_L INT_L_X4Y82 TIEOFF TIEOFF[0,0] TIEOFF_X4Y82 |
INT_R INT_R_X5Y82 TIEOFF TIEOFF[0,0] TIEOFF_X5Y82 |
CLBLM_R CLBLM_R_X5Y82 SLICEM SLICE[0,0] SLICE_X6Y82 SLICEL SLICE[1,0] SLICE_X7Y82 |
CLBLM_L CLBLM_L_X6Y82 SLICEM SLICE[0,0] SLICE_X8Y82 SLICEL SLICE[1,0] SLICE_X9Y82 |
INT_L INT_L_X6Y82 TIEOFF TIEOFF[0,0] TIEOFF_X6Y82 |
INT_R INT_R_X7Y82 TIEOFF TIEOFF[0,0] TIEOFF_X7Y82 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y82 |
VBRK VBRK_X50Y86 |
CLBLM_L CLBLM_L_X8Y82 SLICEM SLICE[0,0] SLICE_X10Y82 SLICEL SLICE[1,0] SLICE_X11Y82 |
INT_L INT_L_X8Y82 TIEOFF TIEOFF[0,0] TIEOFF_X9Y82 |
INT_R INT_R_X9Y82 TIEOFF TIEOFF[0,0] TIEOFF_X10Y82 |
CLBLM_R CLBLM_R_X9Y82 SLICEM SLICE[0,0] SLICE_X12Y82 SLICEL SLICE[1,0] SLICE_X13Y82 |
VBRK VBRK_X55Y86 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y86 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y86 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y86 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y86 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y86 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y86 |
VFRAME VFRAME_X68Y86 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y82 |
INT_L INT_L_X10Y82 TIEOFF TIEOFF[0,0] TIEOFF_X11Y82 |
INT_R INT_R_X11Y82 TIEOFF TIEOFF[0,0] TIEOFF_X12Y82 |
CLBLL_R CLBLL_R_X11Y82 SLICEL SLICE[0,0] SLICE_X14Y82 SLICEL SLICE[1,0] SLICE_X15Y82 |
CLBLM_L CLBLM_L_X12Y82 SLICEM SLICE[0,0] SLICE_X16Y82 SLICEL SLICE[1,0] SLICE_X17Y82 |
INT_L INT_L_X12Y82 TIEOFF TIEOFF[0,0] TIEOFF_X13Y82 |
INT_R INT_R_X13Y82 TIEOFF TIEOFF[0,0] TIEOFF_X14Y82 |
CLBLL_R CLBLL_R_X13Y82 SLICEL SLICE[0,0] SLICE_X18Y82 SLICEL SLICE[1,0] SLICE_X19Y82 |
VBRK VBRK_X77Y86 |
CLBLM_L CLBLM_L_X14Y82 SLICEM SLICE[0,0] SLICE_X20Y82 SLICEL SLICE[1,0] SLICE_X21Y82 |
INT_L INT_L_X14Y82 TIEOFF TIEOFF[0,0] TIEOFF_X15Y82 |
INT_R INT_R_X15Y82 TIEOFF TIEOFF[0,0] TIEOFF_X16Y82 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y82 |
CLK_FEED CLK_FEED_X82Y86 |
VBRK VBRK_X83Y86 |
CLBLL_L CLBLL_L_X16Y82 SLICEL SLICE[0,0] SLICE_X22Y82 SLICEL SLICE[1,0] SLICE_X23Y82 |
INT_L INT_L_X16Y82 TIEOFF TIEOFF[0,0] TIEOFF_X17Y82 |
INT_R INT_R_X17Y82 TIEOFF TIEOFF[0,0] TIEOFF_X18Y82 |
CLBLM_R CLBLM_R_X17Y82 SLICEM SLICE[0,0] SLICE_X24Y82 SLICEL SLICE[1,0] SLICE_X25Y82 |
VBRK VBRK_X88Y86 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y82 |
INT_L INT_L_X18Y82 TIEOFF TIEOFF[0,0] TIEOFF_X19Y82 |
INT_R INT_R_X19Y82 TIEOFF TIEOFF[0,0] TIEOFF_X20Y82 |
CLBLL_R CLBLL_R_X19Y82 SLICEL SLICE[0,0] SLICE_X26Y82 SLICEL SLICE[1,0] SLICE_X27Y82 |
CLBLM_L CLBLM_L_X20Y82 SLICEM SLICE[0,0] SLICE_X28Y82 SLICEL SLICE[1,0] SLICE_X29Y82 |
INT_L INT_L_X20Y82 TIEOFF TIEOFF[0,0] TIEOFF_X21Y82 |
INT_R INT_R_X21Y82 TIEOFF TIEOFF[0,0] TIEOFF_X22Y82 |
CLBLM_R CLBLM_R_X21Y82 SLICEM SLICE[0,0] SLICE_X30Y82 SLICEL SLICE[1,0] SLICE_X31Y82 |
VBRK VBRK_X98Y86 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y82 |
INT_L INT_L_X22Y82 TIEOFF TIEOFF[0,0] TIEOFF_X24Y82 |
INT_R INT_R_X23Y82 TIEOFF TIEOFF[0,0] TIEOFF_X25Y82 |
CLBLM_R CLBLM_R_X23Y82 SLICEM SLICE[0,0] SLICE_X32Y82 SLICEL SLICE[1,0] SLICE_X33Y82 |
CLBLM_L CLBLM_L_X24Y82 SLICEM SLICE[0,0] SLICE_X34Y82 SLICEL SLICE[1,0] SLICE_X35Y82 |
INT_L INT_L_X24Y82 TIEOFF TIEOFF[0,0] TIEOFF_X26Y82 |
INT_R INT_R_X25Y82 TIEOFF TIEOFF[0,0] TIEOFF_X27Y82 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y82 |
VBRK VBRK_X109Y86 |
CLBLL_L CLBLL_L_X26Y82 SLICEL SLICE[0,0] SLICE_X36Y82 SLICEL SLICE[1,0] SLICE_X37Y82 |
INT_L INT_L_X26Y82 TIEOFF TIEOFF[0,0] TIEOFF_X28Y82 |
INT_R INT_R_X27Y82 TIEOFF TIEOFF[0,0] TIEOFF_X29Y82 |
CLBLM_R CLBLM_R_X27Y82 SLICEM SLICE[0,0] SLICE_X38Y82 SLICEL SLICE[1,0] SLICE_X39Y82 |
CLBLL_L CLBLL_L_X28Y82 SLICEL SLICE[0,0] SLICE_X40Y82 SLICEL SLICE[1,0] SLICE_X41Y82 |
INT_L INT_L_X28Y82 TIEOFF TIEOFF[0,0] TIEOFF_X30Y82 |
INT_R INT_R_X29Y82 TIEOFF TIEOFF[0,0] TIEOFF_X31Y82 |
CLBLM_R CLBLM_R_X29Y82 SLICEM SLICE[0,0] SLICE_X42Y82 SLICEL SLICE[1,0] SLICE_X43Y82 |
VBRK VBRK_X118Y86 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y82 |
INT_L INT_L_X30Y82 TIEOFF TIEOFF[0,0] TIEOFF_X32Y82 |
INT_R INT_R_X31Y82 TIEOFF TIEOFF[0,0] TIEOFF_X33Y82 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y82 |
R_TERM_INT R_TERM_INT_X125Y86 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y81 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y81 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y82 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y81 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y82 IDELAYE2 IDELAY[0,0] IDELAY_X0Y81 IDELAYE2 IDELAY[0,1] IDELAY_X0Y82 |
RIOB33 RIOB33_X31Y81 IOB33S IOB[0,0] IOB_X0Y81 IOB33M IOB[0,1] IOB_X0Y82 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y81 |
INT_L INT_L_X0Y81 TIEOFF TIEOFF[0,0] TIEOFF_X0Y81 |
INT_R INT_R_X1Y81 TIEOFF TIEOFF[0,0] TIEOFF_X1Y81 |
CLBLM_R CLBLM_R_X1Y81 SLICEM SLICE[0,0] SLICE_X0Y81 SLICEL SLICE[1,0] SLICE_X1Y81 |
CLBLL_L CLBLL_L_X2Y81 SLICEL SLICE[0,0] SLICE_X2Y81 SLICEL SLICE[1,0] SLICE_X3Y81 |
INT_L INT_L_X2Y81 TIEOFF TIEOFF[0,0] TIEOFF_X2Y81 |
INT_R INT_R_X3Y81 TIEOFF TIEOFF[0,0] TIEOFF_X3Y81 |
CLBLM_R CLBLM_R_X3Y81 SLICEM SLICE[0,0] SLICE_X4Y81 SLICEL SLICE[1,0] SLICE_X5Y81 |
VBRK VBRK_X39Y85 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y81 |
INT_L INT_L_X4Y81 TIEOFF TIEOFF[0,0] TIEOFF_X4Y81 |
INT_R INT_R_X5Y81 TIEOFF TIEOFF[0,0] TIEOFF_X5Y81 |
CLBLM_R CLBLM_R_X5Y81 SLICEM SLICE[0,0] SLICE_X6Y81 SLICEL SLICE[1,0] SLICE_X7Y81 |
CLBLM_L CLBLM_L_X6Y81 SLICEM SLICE[0,0] SLICE_X8Y81 SLICEL SLICE[1,0] SLICE_X9Y81 |
INT_L INT_L_X6Y81 TIEOFF TIEOFF[0,0] TIEOFF_X6Y81 |
INT_R INT_R_X7Y81 TIEOFF TIEOFF[0,0] TIEOFF_X7Y81 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y81 |
VBRK VBRK_X50Y85 |
CLBLM_L CLBLM_L_X8Y81 SLICEM SLICE[0,0] SLICE_X10Y81 SLICEL SLICE[1,0] SLICE_X11Y81 |
INT_L INT_L_X8Y81 TIEOFF TIEOFF[0,0] TIEOFF_X9Y81 |
INT_R INT_R_X9Y81 TIEOFF TIEOFF[0,0] TIEOFF_X10Y81 |
CLBLM_R CLBLM_R_X9Y81 SLICEM SLICE[0,0] SLICE_X12Y81 SLICEL SLICE[1,0] SLICE_X13Y81 |
VBRK VBRK_X55Y85 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y85 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y85 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y85 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y85 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y85 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y85 |
VFRAME VFRAME_X68Y85 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y81 |
INT_L INT_L_X10Y81 TIEOFF TIEOFF[0,0] TIEOFF_X11Y81 |
INT_R INT_R_X11Y81 TIEOFF TIEOFF[0,0] TIEOFF_X12Y81 |
CLBLL_R CLBLL_R_X11Y81 SLICEL SLICE[0,0] SLICE_X14Y81 SLICEL SLICE[1,0] SLICE_X15Y81 |
CLBLM_L CLBLM_L_X12Y81 SLICEM SLICE[0,0] SLICE_X16Y81 SLICEL SLICE[1,0] SLICE_X17Y81 |
INT_L INT_L_X12Y81 TIEOFF TIEOFF[0,0] TIEOFF_X13Y81 |
INT_R INT_R_X13Y81 TIEOFF TIEOFF[0,0] TIEOFF_X14Y81 |
CLBLL_R CLBLL_R_X13Y81 SLICEL SLICE[0,0] SLICE_X18Y81 SLICEL SLICE[1,0] SLICE_X19Y81 |
VBRK VBRK_X77Y85 |
CLBLM_L CLBLM_L_X14Y81 SLICEM SLICE[0,0] SLICE_X20Y81 SLICEL SLICE[1,0] SLICE_X21Y81 |
INT_L INT_L_X14Y81 TIEOFF TIEOFF[0,0] TIEOFF_X15Y81 |
INT_R INT_R_X15Y81 TIEOFF TIEOFF[0,0] TIEOFF_X16Y81 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y81 |
CLK_FEED CLK_FEED_X82Y85 |
VBRK VBRK_X83Y85 |
CLBLL_L CLBLL_L_X16Y81 SLICEL SLICE[0,0] SLICE_X22Y81 SLICEL SLICE[1,0] SLICE_X23Y81 |
INT_L INT_L_X16Y81 TIEOFF TIEOFF[0,0] TIEOFF_X17Y81 |
INT_R INT_R_X17Y81 TIEOFF TIEOFF[0,0] TIEOFF_X18Y81 |
CLBLM_R CLBLM_R_X17Y81 SLICEM SLICE[0,0] SLICE_X24Y81 SLICEL SLICE[1,0] SLICE_X25Y81 |
VBRK VBRK_X88Y85 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y81 |
INT_L INT_L_X18Y81 TIEOFF TIEOFF[0,0] TIEOFF_X19Y81 |
INT_R INT_R_X19Y81 TIEOFF TIEOFF[0,0] TIEOFF_X20Y81 |
CLBLL_R CLBLL_R_X19Y81 SLICEL SLICE[0,0] SLICE_X26Y81 SLICEL SLICE[1,0] SLICE_X27Y81 |
CLBLM_L CLBLM_L_X20Y81 SLICEM SLICE[0,0] SLICE_X28Y81 SLICEL SLICE[1,0] SLICE_X29Y81 |
INT_L INT_L_X20Y81 TIEOFF TIEOFF[0,0] TIEOFF_X21Y81 |
INT_R INT_R_X21Y81 TIEOFF TIEOFF[0,0] TIEOFF_X22Y81 |
CLBLM_R CLBLM_R_X21Y81 SLICEM SLICE[0,0] SLICE_X30Y81 SLICEL SLICE[1,0] SLICE_X31Y81 |
VBRK VBRK_X98Y85 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y81 |
INT_L INT_L_X22Y81 TIEOFF TIEOFF[0,0] TIEOFF_X24Y81 |
INT_R INT_R_X23Y81 TIEOFF TIEOFF[0,0] TIEOFF_X25Y81 |
CLBLM_R CLBLM_R_X23Y81 SLICEM SLICE[0,0] SLICE_X32Y81 SLICEL SLICE[1,0] SLICE_X33Y81 |
CLBLM_L CLBLM_L_X24Y81 SLICEM SLICE[0,0] SLICE_X34Y81 SLICEL SLICE[1,0] SLICE_X35Y81 |
INT_L INT_L_X24Y81 TIEOFF TIEOFF[0,0] TIEOFF_X26Y81 |
INT_R INT_R_X25Y81 TIEOFF TIEOFF[0,0] TIEOFF_X27Y81 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y81 |
VBRK VBRK_X109Y85 |
CLBLL_L CLBLL_L_X26Y81 SLICEL SLICE[0,0] SLICE_X36Y81 SLICEL SLICE[1,0] SLICE_X37Y81 |
INT_L INT_L_X26Y81 TIEOFF TIEOFF[0,0] TIEOFF_X28Y81 |
INT_R INT_R_X27Y81 TIEOFF TIEOFF[0,0] TIEOFF_X29Y81 |
CLBLM_R CLBLM_R_X27Y81 SLICEM SLICE[0,0] SLICE_X38Y81 SLICEL SLICE[1,0] SLICE_X39Y81 |
CLBLL_L CLBLL_L_X28Y81 SLICEL SLICE[0,0] SLICE_X40Y81 SLICEL SLICE[1,0] SLICE_X41Y81 |
INT_L INT_L_X28Y81 TIEOFF TIEOFF[0,0] TIEOFF_X30Y81 |
INT_R INT_R_X29Y81 TIEOFF TIEOFF[0,0] TIEOFF_X31Y81 |
CLBLM_R CLBLM_R_X29Y81 SLICEM SLICE[0,0] SLICE_X42Y81 SLICEL SLICE[1,0] SLICE_X43Y81 |
VBRK VBRK_X118Y85 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y81 |
INT_L INT_L_X30Y81 TIEOFF TIEOFF[0,0] TIEOFF_X32Y81 |
INT_R INT_R_X31Y81 TIEOFF TIEOFF[0,0] TIEOFF_X33Y81 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y81 |
R_TERM_INT R_TERM_INT_X125Y85 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y80 |
INT_L INT_L_X0Y80 TIEOFF TIEOFF[0,0] TIEOFF_X0Y80 |
INT_R INT_R_X1Y80 TIEOFF TIEOFF[0,0] TIEOFF_X1Y80 |
CLBLM_R CLBLM_R_X1Y80 SLICEM SLICE[0,0] SLICE_X0Y80 SLICEL SLICE[1,0] SLICE_X1Y80 |
CLBLL_L CLBLL_L_X2Y80 SLICEL SLICE[0,0] SLICE_X2Y80 SLICEL SLICE[1,0] SLICE_X3Y80 |
INT_L INT_L_X2Y80 TIEOFF TIEOFF[0,0] TIEOFF_X2Y80 |
INT_R INT_R_X3Y80 TIEOFF TIEOFF[0,0] TIEOFF_X3Y80 |
CLBLM_R CLBLM_R_X3Y80 SLICEM SLICE[0,0] SLICE_X4Y80 SLICEL SLICE[1,0] SLICE_X5Y80 |
VBRK VBRK_X39Y84 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y80 |
INT_L INT_L_X4Y80 TIEOFF TIEOFF[0,0] TIEOFF_X4Y80 |
INT_R INT_R_X5Y80 TIEOFF TIEOFF[0,0] TIEOFF_X5Y80 |
CLBLM_R CLBLM_R_X5Y80 SLICEM SLICE[0,0] SLICE_X6Y80 SLICEL SLICE[1,0] SLICE_X7Y80 |
CLBLM_L CLBLM_L_X6Y80 SLICEM SLICE[0,0] SLICE_X8Y80 SLICEL SLICE[1,0] SLICE_X9Y80 |
INT_L INT_L_X6Y80 TIEOFF TIEOFF[0,0] TIEOFF_X6Y80 |
INT_R INT_R_X7Y80 TIEOFF TIEOFF[0,0] TIEOFF_X7Y80 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y80 |
VBRK VBRK_X50Y84 |
CLBLM_L CLBLM_L_X8Y80 SLICEM SLICE[0,0] SLICE_X10Y80 SLICEL SLICE[1,0] SLICE_X11Y80 |
INT_L INT_L_X8Y80 TIEOFF TIEOFF[0,0] TIEOFF_X9Y80 |
INT_R INT_R_X9Y80 TIEOFF TIEOFF[0,0] TIEOFF_X10Y80 |
CLBLM_R CLBLM_R_X9Y80 SLICEM SLICE[0,0] SLICE_X12Y80 SLICEL SLICE[1,0] SLICE_X13Y80 |
VBRK VBRK_X55Y84 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y84 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y84 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y84 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y84 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y84 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y84 |
VFRAME VFRAME_X68Y84 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y80 |
INT_L INT_L_X10Y80 TIEOFF TIEOFF[0,0] TIEOFF_X11Y80 |
INT_R INT_R_X11Y80 TIEOFF TIEOFF[0,0] TIEOFF_X12Y80 |
CLBLL_R CLBLL_R_X11Y80 SLICEL SLICE[0,0] SLICE_X14Y80 SLICEL SLICE[1,0] SLICE_X15Y80 |
CLBLM_L CLBLM_L_X12Y80 SLICEM SLICE[0,0] SLICE_X16Y80 SLICEL SLICE[1,0] SLICE_X17Y80 |
INT_L INT_L_X12Y80 TIEOFF TIEOFF[0,0] TIEOFF_X13Y80 |
INT_R INT_R_X13Y80 TIEOFF TIEOFF[0,0] TIEOFF_X14Y80 |
CLBLL_R CLBLL_R_X13Y80 SLICEL SLICE[0,0] SLICE_X18Y80 SLICEL SLICE[1,0] SLICE_X19Y80 |
VBRK VBRK_X77Y84 |
CLBLM_L CLBLM_L_X14Y80 SLICEM SLICE[0,0] SLICE_X20Y80 SLICEL SLICE[1,0] SLICE_X21Y80 |
INT_L INT_L_X14Y80 TIEOFF TIEOFF[0,0] TIEOFF_X15Y80 |
INT_R INT_R_X15Y80 TIEOFF TIEOFF[0,0] TIEOFF_X16Y80 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y80 |
CLK_FEED CLK_FEED_X82Y84 |
VBRK VBRK_X83Y84 |
CLBLL_L CLBLL_L_X16Y80 SLICEL SLICE[0,0] SLICE_X22Y80 SLICEL SLICE[1,0] SLICE_X23Y80 |
INT_L INT_L_X16Y80 TIEOFF TIEOFF[0,0] TIEOFF_X17Y80 |
INT_R INT_R_X17Y80 TIEOFF TIEOFF[0,0] TIEOFF_X18Y80 |
CLBLM_R CLBLM_R_X17Y80 SLICEM SLICE[0,0] SLICE_X24Y80 SLICEL SLICE[1,0] SLICE_X25Y80 |
VBRK VBRK_X88Y84 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y80 |
INT_L INT_L_X18Y80 TIEOFF TIEOFF[0,0] TIEOFF_X19Y80 |
INT_R INT_R_X19Y80 TIEOFF TIEOFF[0,0] TIEOFF_X20Y80 |
CLBLL_R CLBLL_R_X19Y80 SLICEL SLICE[0,0] SLICE_X26Y80 SLICEL SLICE[1,0] SLICE_X27Y80 |
CLBLM_L CLBLM_L_X20Y80 SLICEM SLICE[0,0] SLICE_X28Y80 SLICEL SLICE[1,0] SLICE_X29Y80 |
INT_L INT_L_X20Y80 TIEOFF TIEOFF[0,0] TIEOFF_X21Y80 |
INT_R INT_R_X21Y80 TIEOFF TIEOFF[0,0] TIEOFF_X22Y80 |
CLBLM_R CLBLM_R_X21Y80 SLICEM SLICE[0,0] SLICE_X30Y80 SLICEL SLICE[1,0] SLICE_X31Y80 |
VBRK VBRK_X98Y84 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y80 |
INT_L INT_L_X22Y80 TIEOFF TIEOFF[0,0] TIEOFF_X24Y80 |
INT_R INT_R_X23Y80 TIEOFF TIEOFF[0,0] TIEOFF_X25Y80 |
CLBLM_R CLBLM_R_X23Y80 SLICEM SLICE[0,0] SLICE_X32Y80 SLICEL SLICE[1,0] SLICE_X33Y80 |
CLBLM_L CLBLM_L_X24Y80 SLICEM SLICE[0,0] SLICE_X34Y80 SLICEL SLICE[1,0] SLICE_X35Y80 |
INT_L INT_L_X24Y80 TIEOFF TIEOFF[0,0] TIEOFF_X26Y80 |
INT_R INT_R_X25Y80 TIEOFF TIEOFF[0,0] TIEOFF_X27Y80 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y80 |
VBRK VBRK_X109Y84 |
CLBLL_L CLBLL_L_X26Y80 SLICEL SLICE[0,0] SLICE_X36Y80 SLICEL SLICE[1,0] SLICE_X37Y80 |
INT_L INT_L_X26Y80 TIEOFF TIEOFF[0,0] TIEOFF_X28Y80 |
INT_R INT_R_X27Y80 TIEOFF TIEOFF[0,0] TIEOFF_X29Y80 |
CLBLM_R CLBLM_R_X27Y80 SLICEM SLICE[0,0] SLICE_X38Y80 SLICEL SLICE[1,0] SLICE_X39Y80 |
CLBLL_L CLBLL_L_X28Y80 SLICEL SLICE[0,0] SLICE_X40Y80 SLICEL SLICE[1,0] SLICE_X41Y80 |
INT_L INT_L_X28Y80 TIEOFF TIEOFF[0,0] TIEOFF_X30Y80 |
INT_R INT_R_X29Y80 TIEOFF TIEOFF[0,0] TIEOFF_X31Y80 |
CLBLM_R CLBLM_R_X29Y80 SLICEM SLICE[0,0] SLICE_X42Y80 SLICEL SLICE[1,0] SLICE_X43Y80 |
VBRK VBRK_X118Y84 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y80 |
INT_L INT_L_X30Y80 TIEOFF TIEOFF[0,0] TIEOFF_X32Y80 |
INT_R INT_R_X31Y80 TIEOFF TIEOFF[0,0] TIEOFF_X33Y80 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y80 |
R_TERM_INT R_TERM_INT_X125Y84 |
RIOI3 RIOI3_X31Y79 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y79 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y80 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y79 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y80 IDELAYE2 IDELAY[0,0] IDELAY_X0Y79 IDELAYE2 IDELAY[0,1] IDELAY_X0Y80 |
RIOB33 RIOB33_X31Y79 IOB33S IOB[0,0] IOB_X0Y79 IOB33M IOB[0,1] IOB_X0Y80 |
||||||||||||||||||||||||||||||||||||||||
PSS3 PSS3_X13Y73 |
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y79 |
INT_L INT_L_X0Y79 TIEOFF TIEOFF[0,0] TIEOFF_X0Y79 |
INT_R INT_R_X1Y79 TIEOFF TIEOFF[0,0] TIEOFF_X1Y79 |
CLBLM_R CLBLM_R_X1Y79 SLICEM SLICE[0,0] SLICE_X0Y79 SLICEL SLICE[1,0] SLICE_X1Y79 |
CLBLL_L CLBLL_L_X2Y79 SLICEL SLICE[0,0] SLICE_X2Y79 SLICEL SLICE[1,0] SLICE_X3Y79 |
INT_L INT_L_X2Y79 TIEOFF TIEOFF[0,0] TIEOFF_X2Y79 |
INT_R INT_R_X3Y79 TIEOFF TIEOFF[0,0] TIEOFF_X3Y79 |
CLBLM_R CLBLM_R_X3Y79 SLICEM SLICE[0,0] SLICE_X4Y79 SLICEL SLICE[1,0] SLICE_X5Y79 |
VBRK VBRK_X39Y83 |
BRAM_L BRAM_L_X4Y75 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y15 FIFO18E1 RAMB18[0,0] RAMB18_X0Y30 RAMB18E1 RAMB18[0,1] RAMB18_X0Y31 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y79 |
INT_L INT_L_X4Y79 TIEOFF TIEOFF[0,0] TIEOFF_X4Y79 |
INT_R INT_R_X5Y79 TIEOFF TIEOFF[0,0] TIEOFF_X5Y79 |
CLBLM_R CLBLM_R_X5Y79 SLICEM SLICE[0,0] SLICE_X6Y79 SLICEL SLICE[1,0] SLICE_X7Y79 |
CLBLM_L CLBLM_L_X6Y79 SLICEM SLICE[0,0] SLICE_X8Y79 SLICEL SLICE[1,0] SLICE_X9Y79 |
INT_L INT_L_X6Y79 TIEOFF TIEOFF[0,0] TIEOFF_X6Y79 |
INT_R INT_R_X7Y79 TIEOFF TIEOFF[0,0] TIEOFF_X7Y79 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y79 |
DSP_R DSP_R_X7Y75 TIEOFF TIEOFF[0,0] TIEOFF_X8Y75 DSP48E1 DSP48[0,0] DSP48_X0Y30 DSP48E1 DSP48[0,1] DSP48_X0Y31 |
VBRK VBRK_X50Y83 |
CLBLM_L CLBLM_L_X8Y79 SLICEM SLICE[0,0] SLICE_X10Y79 SLICEL SLICE[1,0] SLICE_X11Y79 |
INT_L INT_L_X8Y79 TIEOFF TIEOFF[0,0] TIEOFF_X9Y79 |
INT_R INT_R_X9Y79 TIEOFF TIEOFF[0,0] TIEOFF_X10Y79 |
CLBLM_R CLBLM_R_X9Y79 SLICEM SLICE[0,0] SLICE_X12Y79 SLICEL SLICE[1,0] SLICE_X13Y79 |
VBRK VBRK_X55Y83 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y83 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y83 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y83 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y83 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y83 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y83 |
VFRAME VFRAME_X68Y83 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y79 |
INT_L INT_L_X10Y79 TIEOFF TIEOFF[0,0] TIEOFF_X11Y79 |
INT_R INT_R_X11Y79 TIEOFF TIEOFF[0,0] TIEOFF_X12Y79 |
CLBLL_R CLBLL_R_X11Y79 SLICEL SLICE[0,0] SLICE_X14Y79 SLICEL SLICE[1,0] SLICE_X15Y79 |
CLBLM_L CLBLM_L_X12Y79 SLICEM SLICE[0,0] SLICE_X16Y79 SLICEL SLICE[1,0] SLICE_X17Y79 |
INT_L INT_L_X12Y79 TIEOFF TIEOFF[0,0] TIEOFF_X13Y79 |
INT_R INT_R_X13Y79 TIEOFF TIEOFF[0,0] TIEOFF_X14Y79 |
CLBLL_R CLBLL_R_X13Y79 SLICEL SLICE[0,0] SLICE_X18Y79 SLICEL SLICE[1,0] SLICE_X19Y79 |
VBRK VBRK_X77Y83 |
CLBLM_L CLBLM_L_X14Y79 SLICEM SLICE[0,0] SLICE_X20Y79 SLICEL SLICE[1,0] SLICE_X21Y79 |
INT_L INT_L_X14Y79 TIEOFF TIEOFF[0,0] TIEOFF_X15Y79 |
INT_R INT_R_X15Y79 TIEOFF TIEOFF[0,0] TIEOFF_X16Y79 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y79 |
CLK_FEED CLK_FEED_X82Y83 |
VBRK VBRK_X83Y83 |
CLBLL_L CLBLL_L_X16Y79 SLICEL SLICE[0,0] SLICE_X22Y79 SLICEL SLICE[1,0] SLICE_X23Y79 |
INT_L INT_L_X16Y79 TIEOFF TIEOFF[0,0] TIEOFF_X17Y79 |
INT_R INT_R_X17Y79 TIEOFF TIEOFF[0,0] TIEOFF_X18Y79 |
CLBLM_R CLBLM_R_X17Y79 SLICEM SLICE[0,0] SLICE_X24Y79 SLICEL SLICE[1,0] SLICE_X25Y79 |
VBRK VBRK_X88Y83 |
BRAM_L BRAM_L_X18Y75 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y15 FIFO18E1 RAMB18[0,0] RAMB18_X1Y30 RAMB18E1 RAMB18[0,1] RAMB18_X1Y31 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y79 |
INT_L INT_L_X18Y79 TIEOFF TIEOFF[0,0] TIEOFF_X19Y79 |
INT_R INT_R_X19Y79 TIEOFF TIEOFF[0,0] TIEOFF_X20Y79 |
CLBLL_R CLBLL_R_X19Y79 SLICEL SLICE[0,0] SLICE_X26Y79 SLICEL SLICE[1,0] SLICE_X27Y79 |
CLBLM_L CLBLM_L_X20Y79 SLICEM SLICE[0,0] SLICE_X28Y79 SLICEL SLICE[1,0] SLICE_X29Y79 |
INT_L INT_L_X20Y79 TIEOFF TIEOFF[0,0] TIEOFF_X21Y79 |
INT_R INT_R_X21Y79 TIEOFF TIEOFF[0,0] TIEOFF_X22Y79 |
CLBLM_R CLBLM_R_X21Y79 SLICEM SLICE[0,0] SLICE_X30Y79 SLICEL SLICE[1,0] SLICE_X31Y79 |
VBRK VBRK_X98Y83 |
DSP_L DSP_L_X22Y75 TIEOFF TIEOFF[0,0] TIEOFF_X23Y75 DSP48E1 DSP48[0,0] DSP48_X1Y30 DSP48E1 DSP48[0,1] DSP48_X1Y31 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y79 |
INT_L INT_L_X22Y79 TIEOFF TIEOFF[0,0] TIEOFF_X24Y79 |
INT_R INT_R_X23Y79 TIEOFF TIEOFF[0,0] TIEOFF_X25Y79 |
CLBLM_R CLBLM_R_X23Y79 SLICEM SLICE[0,0] SLICE_X32Y79 SLICEL SLICE[1,0] SLICE_X33Y79 |
CLBLM_L CLBLM_L_X24Y79 SLICEM SLICE[0,0] SLICE_X34Y79 SLICEL SLICE[1,0] SLICE_X35Y79 |
INT_L INT_L_X24Y79 TIEOFF TIEOFF[0,0] TIEOFF_X26Y79 |
INT_R INT_R_X25Y79 TIEOFF TIEOFF[0,0] TIEOFF_X27Y79 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y79 |
BRAM_R BRAM_R_X25Y75 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y15 FIFO18E1 RAMB18[0,0] RAMB18_X2Y30 RAMB18E1 RAMB18[0,1] RAMB18_X2Y31 |
VBRK VBRK_X109Y83 |
CLBLL_L CLBLL_L_X26Y79 SLICEL SLICE[0,0] SLICE_X36Y79 SLICEL SLICE[1,0] SLICE_X37Y79 |
INT_L INT_L_X26Y79 TIEOFF TIEOFF[0,0] TIEOFF_X28Y79 |
INT_R INT_R_X27Y79 TIEOFF TIEOFF[0,0] TIEOFF_X29Y79 |
CLBLM_R CLBLM_R_X27Y79 SLICEM SLICE[0,0] SLICE_X38Y79 SLICEL SLICE[1,0] SLICE_X39Y79 |
CLBLL_L CLBLL_L_X28Y79 SLICEL SLICE[0,0] SLICE_X40Y79 SLICEL SLICE[1,0] SLICE_X41Y79 |
INT_L INT_L_X28Y79 TIEOFF TIEOFF[0,0] TIEOFF_X30Y79 |
INT_R INT_R_X29Y79 TIEOFF TIEOFF[0,0] TIEOFF_X31Y79 |
CLBLM_R CLBLM_R_X29Y79 SLICEM SLICE[0,0] SLICE_X42Y79 SLICEL SLICE[1,0] SLICE_X43Y79 |
VBRK VBRK_X118Y83 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y79 |
INT_L INT_L_X30Y79 TIEOFF TIEOFF[0,0] TIEOFF_X32Y79 |
INT_R INT_R_X31Y79 TIEOFF TIEOFF[0,0] TIEOFF_X33Y79 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y79 |
R_TERM_INT R_TERM_INT_X125Y83 |
||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y78 |
INT_L INT_L_X0Y78 TIEOFF TIEOFF[0,0] TIEOFF_X0Y78 |
INT_R INT_R_X1Y78 TIEOFF TIEOFF[0,0] TIEOFF_X1Y78 |
CLBLM_R CLBLM_R_X1Y78 SLICEM SLICE[0,0] SLICE_X0Y78 SLICEL SLICE[1,0] SLICE_X1Y78 |
CLBLL_L CLBLL_L_X2Y78 SLICEL SLICE[0,0] SLICE_X2Y78 SLICEL SLICE[1,0] SLICE_X3Y78 |
INT_L INT_L_X2Y78 TIEOFF TIEOFF[0,0] TIEOFF_X2Y78 |
INT_R INT_R_X3Y78 TIEOFF TIEOFF[0,0] TIEOFF_X3Y78 |
CLBLM_R CLBLM_R_X3Y78 SLICEM SLICE[0,0] SLICE_X4Y78 SLICEL SLICE[1,0] SLICE_X5Y78 |
VBRK VBRK_X39Y82 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y78 |
INT_L INT_L_X4Y78 TIEOFF TIEOFF[0,0] TIEOFF_X4Y78 |
INT_R INT_R_X5Y78 TIEOFF TIEOFF[0,0] TIEOFF_X5Y78 |
CLBLM_R CLBLM_R_X5Y78 SLICEM SLICE[0,0] SLICE_X6Y78 SLICEL SLICE[1,0] SLICE_X7Y78 |
CLBLM_L CLBLM_L_X6Y78 SLICEM SLICE[0,0] SLICE_X8Y78 SLICEL SLICE[1,0] SLICE_X9Y78 |
INT_L INT_L_X6Y78 TIEOFF TIEOFF[0,0] TIEOFF_X6Y78 |
INT_R INT_R_X7Y78 TIEOFF TIEOFF[0,0] TIEOFF_X7Y78 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y78 |
VBRK VBRK_X50Y82 |
CLBLM_L CLBLM_L_X8Y78 SLICEM SLICE[0,0] SLICE_X10Y78 SLICEL SLICE[1,0] SLICE_X11Y78 |
INT_L INT_L_X8Y78 TIEOFF TIEOFF[0,0] TIEOFF_X9Y78 |
INT_R INT_R_X9Y78 TIEOFF TIEOFF[0,0] TIEOFF_X10Y78 |
CLBLM_R CLBLM_R_X9Y78 SLICEM SLICE[0,0] SLICE_X12Y78 SLICEL SLICE[1,0] SLICE_X13Y78 |
VBRK VBRK_X55Y82 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y82 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y82 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y82 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y82 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y82 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y82 |
VFRAME VFRAME_X68Y82 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y78 |
INT_L INT_L_X10Y78 TIEOFF TIEOFF[0,0] TIEOFF_X11Y78 |
INT_R INT_R_X11Y78 TIEOFF TIEOFF[0,0] TIEOFF_X12Y78 |
CLBLL_R CLBLL_R_X11Y78 SLICEL SLICE[0,0] SLICE_X14Y78 SLICEL SLICE[1,0] SLICE_X15Y78 |
CLBLM_L CLBLM_L_X12Y78 SLICEM SLICE[0,0] SLICE_X16Y78 SLICEL SLICE[1,0] SLICE_X17Y78 |
INT_L INT_L_X12Y78 TIEOFF TIEOFF[0,0] TIEOFF_X13Y78 |
INT_R INT_R_X13Y78 TIEOFF TIEOFF[0,0] TIEOFF_X14Y78 |
CLBLL_R CLBLL_R_X13Y78 SLICEL SLICE[0,0] SLICE_X18Y78 SLICEL SLICE[1,0] SLICE_X19Y78 |
VBRK VBRK_X77Y82 |
CLBLM_L CLBLM_L_X14Y78 SLICEM SLICE[0,0] SLICE_X20Y78 SLICEL SLICE[1,0] SLICE_X21Y78 |
INT_L INT_L_X14Y78 TIEOFF TIEOFF[0,0] TIEOFF_X15Y78 |
INT_R INT_R_X15Y78 TIEOFF TIEOFF[0,0] TIEOFF_X16Y78 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y78 |
CLK_HROW_TOP_R CLK_HROW_TOP_R_X82Y78 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y64 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y65 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y66 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y67 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y68 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y69 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y70 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y71 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y72 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y73 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y74 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y75 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y76 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y77 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y78 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y79 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y64 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y65 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y66 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y67 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y68 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y69 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y70 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y71 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y72 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y73 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y74 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y75 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y76 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y77 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y78 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y79 GCLK_TEST_BUF GCLK_TEST_BUF[3,0] GCLK_TEST_BUF_X3Y64 GCLK_TEST_BUF GCLK_TEST_BUF[3,1] GCLK_TEST_BUF_X3Y65 BUFHCE BUFHCE[0,0] BUFHCE_X0Y12 BUFHCE BUFHCE[0,1] BUFHCE_X0Y13 BUFHCE BUFHCE[0,2] BUFHCE_X0Y14 BUFHCE BUFHCE[0,3] BUFHCE_X0Y15 BUFHCE BUFHCE[0,4] BUFHCE_X0Y16 BUFHCE BUFHCE[0,5] BUFHCE_X0Y17 BUFHCE BUFHCE[0,6] BUFHCE_X0Y18 BUFHCE BUFHCE[0,7] BUFHCE_X0Y19 BUFHCE BUFHCE[0,8] BUFHCE_X0Y20 BUFHCE BUFHCE[0,9] BUFHCE_X0Y21 BUFHCE BUFHCE[0,10] BUFHCE_X0Y22 BUFHCE BUFHCE[0,11] BUFHCE_X0Y23 BUFHCE BUFHCE[1,0] BUFHCE_X1Y12 BUFHCE BUFHCE[1,1] BUFHCE_X1Y13 BUFHCE BUFHCE[1,2] BUFHCE_X1Y14 BUFHCE BUFHCE[1,3] BUFHCE_X1Y15 BUFHCE BUFHCE[1,4] BUFHCE_X1Y16 BUFHCE BUFHCE[1,5] BUFHCE_X1Y17 BUFHCE BUFHCE[1,6] BUFHCE_X1Y18 BUFHCE BUFHCE[1,7] BUFHCE_X1Y19 BUFHCE BUFHCE[1,8] BUFHCE_X1Y20 BUFHCE BUFHCE[1,9] BUFHCE_X1Y21 BUFHCE BUFHCE[1,10] BUFHCE_X1Y22 BUFHCE BUFHCE[1,11] BUFHCE_X1Y23 |
VBRK VBRK_X83Y82 |
CLBLL_L CLBLL_L_X16Y78 SLICEL SLICE[0,0] SLICE_X22Y78 SLICEL SLICE[1,0] SLICE_X23Y78 |
INT_L INT_L_X16Y78 TIEOFF TIEOFF[0,0] TIEOFF_X17Y78 |
INT_R INT_R_X17Y78 TIEOFF TIEOFF[0,0] TIEOFF_X18Y78 |
CLBLM_R CLBLM_R_X17Y78 SLICEM SLICE[0,0] SLICE_X24Y78 SLICEL SLICE[1,0] SLICE_X25Y78 |
VBRK VBRK_X88Y82 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y78 |
INT_L INT_L_X18Y78 TIEOFF TIEOFF[0,0] TIEOFF_X19Y78 |
INT_R INT_R_X19Y78 TIEOFF TIEOFF[0,0] TIEOFF_X20Y78 |
CLBLL_R CLBLL_R_X19Y78 SLICEL SLICE[0,0] SLICE_X26Y78 SLICEL SLICE[1,0] SLICE_X27Y78 |
CLBLM_L CLBLM_L_X20Y78 SLICEM SLICE[0,0] SLICE_X28Y78 SLICEL SLICE[1,0] SLICE_X29Y78 |
INT_L INT_L_X20Y78 TIEOFF TIEOFF[0,0] TIEOFF_X21Y78 |
INT_R INT_R_X21Y78 TIEOFF TIEOFF[0,0] TIEOFF_X22Y78 |
CLBLM_R CLBLM_R_X21Y78 SLICEM SLICE[0,0] SLICE_X30Y78 SLICEL SLICE[1,0] SLICE_X31Y78 |
VBRK VBRK_X98Y82 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y78 |
INT_L INT_L_X22Y78 TIEOFF TIEOFF[0,0] TIEOFF_X24Y78 |
INT_R INT_R_X23Y78 TIEOFF TIEOFF[0,0] TIEOFF_X25Y78 |
CLBLM_R CLBLM_R_X23Y78 SLICEM SLICE[0,0] SLICE_X32Y78 SLICEL SLICE[1,0] SLICE_X33Y78 |
CLBLM_L CLBLM_L_X24Y78 SLICEM SLICE[0,0] SLICE_X34Y78 SLICEL SLICE[1,0] SLICE_X35Y78 |
INT_L INT_L_X24Y78 TIEOFF TIEOFF[0,0] TIEOFF_X26Y78 |
INT_R INT_R_X25Y78 TIEOFF TIEOFF[0,0] TIEOFF_X27Y78 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y78 |
VBRK VBRK_X109Y82 |
CLBLL_L CLBLL_L_X26Y78 SLICEL SLICE[0,0] SLICE_X36Y78 SLICEL SLICE[1,0] SLICE_X37Y78 |
INT_L INT_L_X26Y78 TIEOFF TIEOFF[0,0] TIEOFF_X28Y78 |
INT_R INT_R_X27Y78 TIEOFF TIEOFF[0,0] TIEOFF_X29Y78 |
CLBLM_R CLBLM_R_X27Y78 SLICEM SLICE[0,0] SLICE_X38Y78 SLICEL SLICE[1,0] SLICE_X39Y78 |
CLBLL_L CLBLL_L_X28Y78 SLICEL SLICE[0,0] SLICE_X40Y78 SLICEL SLICE[1,0] SLICE_X41Y78 |
INT_L INT_L_X28Y78 TIEOFF TIEOFF[0,0] TIEOFF_X30Y78 |
INT_R INT_R_X29Y78 TIEOFF TIEOFF[0,0] TIEOFF_X31Y78 |
CLBLM_R CLBLM_R_X29Y78 SLICEM SLICE[0,0] SLICE_X42Y78 SLICEL SLICE[1,0] SLICE_X43Y78 |
VBRK VBRK_X118Y82 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y78 |
INT_L INT_L_X30Y78 TIEOFF TIEOFF[0,0] TIEOFF_X32Y78 |
INT_R INT_R_X31Y78 TIEOFF TIEOFF[0,0] TIEOFF_X33Y78 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y78 |
R_TERM_INT R_TERM_INT_X125Y82 |
RIOI3 RIOI3_X31Y77 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y77 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y78 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y77 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y78 IDELAYE2 IDELAY[0,0] IDELAY_X0Y77 IDELAYE2 IDELAY[0,1] IDELAY_X0Y78 |
RIOB33 RIOB33_X31Y77 IOB33S IOB[0,0] IOB_X0Y77 IOB33M IOB[0,1] IOB_X0Y78 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y77 |
INT_L INT_L_X0Y77 TIEOFF TIEOFF[0,0] TIEOFF_X0Y77 |
INT_R INT_R_X1Y77 TIEOFF TIEOFF[0,0] TIEOFF_X1Y77 |
CLBLM_R CLBLM_R_X1Y77 SLICEM SLICE[0,0] SLICE_X0Y77 SLICEL SLICE[1,0] SLICE_X1Y77 |
CLBLL_L CLBLL_L_X2Y77 SLICEL SLICE[0,0] SLICE_X2Y77 SLICEL SLICE[1,0] SLICE_X3Y77 |
INT_L INT_L_X2Y77 TIEOFF TIEOFF[0,0] TIEOFF_X2Y77 |
INT_R INT_R_X3Y77 TIEOFF TIEOFF[0,0] TIEOFF_X3Y77 |
CLBLM_R CLBLM_R_X3Y77 SLICEM SLICE[0,0] SLICE_X4Y77 SLICEL SLICE[1,0] SLICE_X5Y77 |
VBRK VBRK_X39Y81 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y77 |
INT_L INT_L_X4Y77 TIEOFF TIEOFF[0,0] TIEOFF_X4Y77 |
INT_R INT_R_X5Y77 TIEOFF TIEOFF[0,0] TIEOFF_X5Y77 |
CLBLM_R CLBLM_R_X5Y77 SLICEM SLICE[0,0] SLICE_X6Y77 SLICEL SLICE[1,0] SLICE_X7Y77 |
CLBLM_L CLBLM_L_X6Y77 SLICEM SLICE[0,0] SLICE_X8Y77 SLICEL SLICE[1,0] SLICE_X9Y77 |
INT_L INT_L_X6Y77 TIEOFF TIEOFF[0,0] TIEOFF_X6Y77 |
INT_R INT_R_X7Y77 TIEOFF TIEOFF[0,0] TIEOFF_X7Y77 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y77 |
VBRK VBRK_X50Y81 |
CLBLM_L CLBLM_L_X8Y77 SLICEM SLICE[0,0] SLICE_X10Y77 SLICEL SLICE[1,0] SLICE_X11Y77 |
INT_L INT_L_X8Y77 TIEOFF TIEOFF[0,0] TIEOFF_X9Y77 |
INT_R INT_R_X9Y77 TIEOFF TIEOFF[0,0] TIEOFF_X10Y77 |
CLBLM_R CLBLM_R_X9Y77 SLICEM SLICE[0,0] SLICE_X12Y77 SLICEL SLICE[1,0] SLICE_X13Y77 |
VBRK VBRK_X55Y81 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y81 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y81 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y81 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y81 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y81 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y81 |
VFRAME VFRAME_X68Y81 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y77 |
INT_L INT_L_X10Y77 TIEOFF TIEOFF[0,0] TIEOFF_X11Y77 |
INT_R INT_R_X11Y77 TIEOFF TIEOFF[0,0] TIEOFF_X12Y77 |
CLBLL_R CLBLL_R_X11Y77 SLICEL SLICE[0,0] SLICE_X14Y77 SLICEL SLICE[1,0] SLICE_X15Y77 |
CLBLM_L CLBLM_L_X12Y77 SLICEM SLICE[0,0] SLICE_X16Y77 SLICEL SLICE[1,0] SLICE_X17Y77 |
INT_L INT_L_X12Y77 TIEOFF TIEOFF[0,0] TIEOFF_X13Y77 |
INT_R INT_R_X13Y77 TIEOFF TIEOFF[0,0] TIEOFF_X14Y77 |
CLBLL_R CLBLL_R_X13Y77 SLICEL SLICE[0,0] SLICE_X18Y77 SLICEL SLICE[1,0] SLICE_X19Y77 |
VBRK VBRK_X77Y81 |
CLBLM_L CLBLM_L_X14Y77 SLICEM SLICE[0,0] SLICE_X20Y77 SLICEL SLICE[1,0] SLICE_X21Y77 |
INT_L INT_L_X14Y77 TIEOFF TIEOFF[0,0] TIEOFF_X15Y77 |
INT_R INT_R_X15Y77 TIEOFF TIEOFF[0,0] TIEOFF_X16Y77 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y77 |
VBRK VBRK_X83Y81 |
CLBLL_L CLBLL_L_X16Y77 SLICEL SLICE[0,0] SLICE_X22Y77 SLICEL SLICE[1,0] SLICE_X23Y77 |
INT_L INT_L_X16Y77 TIEOFF TIEOFF[0,0] TIEOFF_X17Y77 |
INT_R INT_R_X17Y77 TIEOFF TIEOFF[0,0] TIEOFF_X18Y77 |
CLBLM_R CLBLM_R_X17Y77 SLICEM SLICE[0,0] SLICE_X24Y77 SLICEL SLICE[1,0] SLICE_X25Y77 |
VBRK VBRK_X88Y81 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y77 |
INT_L INT_L_X18Y77 TIEOFF TIEOFF[0,0] TIEOFF_X19Y77 |
INT_R INT_R_X19Y77 TIEOFF TIEOFF[0,0] TIEOFF_X20Y77 |
CLBLL_R CLBLL_R_X19Y77 SLICEL SLICE[0,0] SLICE_X26Y77 SLICEL SLICE[1,0] SLICE_X27Y77 |
CLBLM_L CLBLM_L_X20Y77 SLICEM SLICE[0,0] SLICE_X28Y77 SLICEL SLICE[1,0] SLICE_X29Y77 |
INT_L INT_L_X20Y77 TIEOFF TIEOFF[0,0] TIEOFF_X21Y77 |
INT_R INT_R_X21Y77 TIEOFF TIEOFF[0,0] TIEOFF_X22Y77 |
CLBLM_R CLBLM_R_X21Y77 SLICEM SLICE[0,0] SLICE_X30Y77 SLICEL SLICE[1,0] SLICE_X31Y77 |
VBRK VBRK_X98Y81 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y77 |
INT_L INT_L_X22Y77 TIEOFF TIEOFF[0,0] TIEOFF_X24Y77 |
INT_R INT_R_X23Y77 TIEOFF TIEOFF[0,0] TIEOFF_X25Y77 |
CLBLM_R CLBLM_R_X23Y77 SLICEM SLICE[0,0] SLICE_X32Y77 SLICEL SLICE[1,0] SLICE_X33Y77 |
CLBLM_L CLBLM_L_X24Y77 SLICEM SLICE[0,0] SLICE_X34Y77 SLICEL SLICE[1,0] SLICE_X35Y77 |
INT_L INT_L_X24Y77 TIEOFF TIEOFF[0,0] TIEOFF_X26Y77 |
INT_R INT_R_X25Y77 TIEOFF TIEOFF[0,0] TIEOFF_X27Y77 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y77 |
VBRK VBRK_X109Y81 |
CLBLL_L CLBLL_L_X26Y77 SLICEL SLICE[0,0] SLICE_X36Y77 SLICEL SLICE[1,0] SLICE_X37Y77 |
INT_L INT_L_X26Y77 TIEOFF TIEOFF[0,0] TIEOFF_X28Y77 |
INT_R INT_R_X27Y77 TIEOFF TIEOFF[0,0] TIEOFF_X29Y77 |
CLBLM_R CLBLM_R_X27Y77 SLICEM SLICE[0,0] SLICE_X38Y77 SLICEL SLICE[1,0] SLICE_X39Y77 |
CLBLL_L CLBLL_L_X28Y77 SLICEL SLICE[0,0] SLICE_X40Y77 SLICEL SLICE[1,0] SLICE_X41Y77 |
INT_L INT_L_X28Y77 TIEOFF TIEOFF[0,0] TIEOFF_X30Y77 |
INT_R INT_R_X29Y77 TIEOFF TIEOFF[0,0] TIEOFF_X31Y77 |
CLBLM_R CLBLM_R_X29Y77 SLICEM SLICE[0,0] SLICE_X42Y77 SLICEL SLICE[1,0] SLICE_X43Y77 |
VBRK VBRK_X118Y81 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y77 |
INT_L INT_L_X30Y77 TIEOFF TIEOFF[0,0] TIEOFF_X32Y77 |
INT_R INT_R_X31Y77 TIEOFF TIEOFF[0,0] TIEOFF_X33Y77 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y77 |
R_TERM_INT R_TERM_INT_X125Y81 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y76 |
INT_L INT_L_X0Y76 TIEOFF TIEOFF[0,0] TIEOFF_X0Y76 |
INT_R INT_R_X1Y76 TIEOFF TIEOFF[0,0] TIEOFF_X1Y76 |
CLBLM_R CLBLM_R_X1Y76 SLICEM SLICE[0,0] SLICE_X0Y76 SLICEL SLICE[1,0] SLICE_X1Y76 |
CLBLL_L CLBLL_L_X2Y76 SLICEL SLICE[0,0] SLICE_X2Y76 SLICEL SLICE[1,0] SLICE_X3Y76 |
INT_L INT_L_X2Y76 TIEOFF TIEOFF[0,0] TIEOFF_X2Y76 |
INT_R INT_R_X3Y76 TIEOFF TIEOFF[0,0] TIEOFF_X3Y76 |
CLBLM_R CLBLM_R_X3Y76 SLICEM SLICE[0,0] SLICE_X4Y76 SLICEL SLICE[1,0] SLICE_X5Y76 |
VBRK VBRK_X39Y80 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y76 |
INT_L INT_L_X4Y76 TIEOFF TIEOFF[0,0] TIEOFF_X4Y76 |
INT_R INT_R_X5Y76 TIEOFF TIEOFF[0,0] TIEOFF_X5Y76 |
CLBLM_R CLBLM_R_X5Y76 SLICEM SLICE[0,0] SLICE_X6Y76 SLICEL SLICE[1,0] SLICE_X7Y76 |
CLBLM_L CLBLM_L_X6Y76 SLICEM SLICE[0,0] SLICE_X8Y76 SLICEL SLICE[1,0] SLICE_X9Y76 |
INT_L INT_L_X6Y76 TIEOFF TIEOFF[0,0] TIEOFF_X6Y76 |
INT_R INT_R_X7Y76 TIEOFF TIEOFF[0,0] TIEOFF_X7Y76 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y76 |
VBRK VBRK_X50Y80 |
CLBLM_L CLBLM_L_X8Y76 SLICEM SLICE[0,0] SLICE_X10Y76 SLICEL SLICE[1,0] SLICE_X11Y76 |
INT_L INT_L_X8Y76 TIEOFF TIEOFF[0,0] TIEOFF_X9Y76 |
INT_R INT_R_X9Y76 TIEOFF TIEOFF[0,0] TIEOFF_X10Y76 |
CLBLM_R CLBLM_R_X9Y76 SLICEM SLICE[0,0] SLICE_X12Y76 SLICEL SLICE[1,0] SLICE_X13Y76 |
VBRK VBRK_X55Y80 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y80 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y80 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y80 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y80 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y80 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y80 |
VFRAME VFRAME_X68Y80 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y76 |
INT_L INT_L_X10Y76 TIEOFF TIEOFF[0,0] TIEOFF_X11Y76 |
INT_R INT_R_X11Y76 TIEOFF TIEOFF[0,0] TIEOFF_X12Y76 |
CLBLL_R CLBLL_R_X11Y76 SLICEL SLICE[0,0] SLICE_X14Y76 SLICEL SLICE[1,0] SLICE_X15Y76 |
CLBLM_L CLBLM_L_X12Y76 SLICEM SLICE[0,0] SLICE_X16Y76 SLICEL SLICE[1,0] SLICE_X17Y76 |
INT_L INT_L_X12Y76 TIEOFF TIEOFF[0,0] TIEOFF_X13Y76 |
INT_R INT_R_X13Y76 TIEOFF TIEOFF[0,0] TIEOFF_X14Y76 |
CLBLL_R CLBLL_R_X13Y76 SLICEL SLICE[0,0] SLICE_X18Y76 SLICEL SLICE[1,0] SLICE_X19Y76 |
VBRK VBRK_X77Y80 |
CLBLM_L CLBLM_L_X14Y76 SLICEM SLICE[0,0] SLICE_X20Y76 SLICEL SLICE[1,0] SLICE_X21Y76 |
INT_L INT_L_X14Y76 TIEOFF TIEOFF[0,0] TIEOFF_X15Y76 |
INT_R INT_R_X15Y76 TIEOFF TIEOFF[0,0] TIEOFF_X16Y76 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y76 |
VBRK VBRK_X83Y80 |
CLBLL_L CLBLL_L_X16Y76 SLICEL SLICE[0,0] SLICE_X22Y76 SLICEL SLICE[1,0] SLICE_X23Y76 |
INT_L INT_L_X16Y76 TIEOFF TIEOFF[0,0] TIEOFF_X17Y76 |
INT_R INT_R_X17Y76 TIEOFF TIEOFF[0,0] TIEOFF_X18Y76 |
CLBLM_R CLBLM_R_X17Y76 SLICEM SLICE[0,0] SLICE_X24Y76 SLICEL SLICE[1,0] SLICE_X25Y76 |
VBRK VBRK_X88Y80 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y76 |
INT_L INT_L_X18Y76 TIEOFF TIEOFF[0,0] TIEOFF_X19Y76 |
INT_R INT_R_X19Y76 TIEOFF TIEOFF[0,0] TIEOFF_X20Y76 |
CLBLL_R CLBLL_R_X19Y76 SLICEL SLICE[0,0] SLICE_X26Y76 SLICEL SLICE[1,0] SLICE_X27Y76 |
CLBLM_L CLBLM_L_X20Y76 SLICEM SLICE[0,0] SLICE_X28Y76 SLICEL SLICE[1,0] SLICE_X29Y76 |
INT_L INT_L_X20Y76 TIEOFF TIEOFF[0,0] TIEOFF_X21Y76 |
INT_R INT_R_X21Y76 TIEOFF TIEOFF[0,0] TIEOFF_X22Y76 |
CLBLM_R CLBLM_R_X21Y76 SLICEM SLICE[0,0] SLICE_X30Y76 SLICEL SLICE[1,0] SLICE_X31Y76 |
VBRK VBRK_X98Y80 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y76 |
INT_L INT_L_X22Y76 TIEOFF TIEOFF[0,0] TIEOFF_X24Y76 |
INT_R INT_R_X23Y76 TIEOFF TIEOFF[0,0] TIEOFF_X25Y76 |
CLBLM_R CLBLM_R_X23Y76 SLICEM SLICE[0,0] SLICE_X32Y76 SLICEL SLICE[1,0] SLICE_X33Y76 |
CLBLM_L CLBLM_L_X24Y76 SLICEM SLICE[0,0] SLICE_X34Y76 SLICEL SLICE[1,0] SLICE_X35Y76 |
INT_L INT_L_X24Y76 TIEOFF TIEOFF[0,0] TIEOFF_X26Y76 |
INT_R INT_R_X25Y76 TIEOFF TIEOFF[0,0] TIEOFF_X27Y76 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y76 |
VBRK VBRK_X109Y80 |
CLBLL_L CLBLL_L_X26Y76 SLICEL SLICE[0,0] SLICE_X36Y76 SLICEL SLICE[1,0] SLICE_X37Y76 |
INT_L INT_L_X26Y76 TIEOFF TIEOFF[0,0] TIEOFF_X28Y76 |
INT_R INT_R_X27Y76 TIEOFF TIEOFF[0,0] TIEOFF_X29Y76 |
CLBLM_R CLBLM_R_X27Y76 SLICEM SLICE[0,0] SLICE_X38Y76 SLICEL SLICE[1,0] SLICE_X39Y76 |
CLBLL_L CLBLL_L_X28Y76 SLICEL SLICE[0,0] SLICE_X40Y76 SLICEL SLICE[1,0] SLICE_X41Y76 |
INT_L INT_L_X28Y76 TIEOFF TIEOFF[0,0] TIEOFF_X30Y76 |
INT_R INT_R_X29Y76 TIEOFF TIEOFF[0,0] TIEOFF_X31Y76 |
CLBLM_R CLBLM_R_X29Y76 SLICEM SLICE[0,0] SLICE_X42Y76 SLICEL SLICE[1,0] SLICE_X43Y76 |
VBRK VBRK_X118Y80 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y76 |
INT_L INT_L_X30Y76 TIEOFF TIEOFF[0,0] TIEOFF_X32Y76 |
INT_R INT_R_X31Y76 TIEOFF TIEOFF[0,0] TIEOFF_X33Y76 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y76 |
R_TERM_INT R_TERM_INT_X125Y80 |
RIOI3 RIOI3_X31Y75 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y75 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y76 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y75 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y76 IDELAYE2 IDELAY[0,0] IDELAY_X0Y75 IDELAYE2 IDELAY[0,1] IDELAY_X0Y76 |
RIOB33 RIOB33_X31Y75 IOB33S IOB[0,0] IOB_X0Y75 IOB33M IOB[0,1] IOB_X0Y76 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y75 |
INT_L INT_L_X0Y75 TIEOFF TIEOFF[0,0] TIEOFF_X0Y75 |
INT_R INT_R_X1Y75 TIEOFF TIEOFF[0,0] TIEOFF_X1Y75 |
CLBLM_R CLBLM_R_X1Y75 SLICEM SLICE[0,0] SLICE_X0Y75 SLICEL SLICE[1,0] SLICE_X1Y75 |
CLBLL_L CLBLL_L_X2Y75 SLICEL SLICE[0,0] SLICE_X2Y75 SLICEL SLICE[1,0] SLICE_X3Y75 |
INT_L INT_L_X2Y75 TIEOFF TIEOFF[0,0] TIEOFF_X2Y75 |
INT_R INT_R_X3Y75 TIEOFF TIEOFF[0,0] TIEOFF_X3Y75 |
CLBLM_R CLBLM_R_X3Y75 SLICEM SLICE[0,0] SLICE_X4Y75 SLICEL SLICE[1,0] SLICE_X5Y75 |
VBRK VBRK_X39Y79 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y75 |
INT_L INT_L_X4Y75 TIEOFF TIEOFF[0,0] TIEOFF_X4Y75 |
INT_R INT_R_X5Y75 TIEOFF TIEOFF[0,0] TIEOFF_X5Y75 |
CLBLM_R CLBLM_R_X5Y75 SLICEM SLICE[0,0] SLICE_X6Y75 SLICEL SLICE[1,0] SLICE_X7Y75 |
CLBLM_L CLBLM_L_X6Y75 SLICEM SLICE[0,0] SLICE_X8Y75 SLICEL SLICE[1,0] SLICE_X9Y75 |
INT_L INT_L_X6Y75 TIEOFF TIEOFF[0,0] TIEOFF_X6Y75 |
INT_R INT_R_X7Y75 TIEOFF TIEOFF[0,0] TIEOFF_X7Y75 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y75 |
VBRK VBRK_X50Y79 |
CLBLM_L CLBLM_L_X8Y75 SLICEM SLICE[0,0] SLICE_X10Y75 SLICEL SLICE[1,0] SLICE_X11Y75 |
INT_L INT_L_X8Y75 TIEOFF TIEOFF[0,0] TIEOFF_X9Y75 |
INT_R INT_R_X9Y75 TIEOFF TIEOFF[0,0] TIEOFF_X10Y75 |
CLBLM_R CLBLM_R_X9Y75 SLICEM SLICE[0,0] SLICE_X12Y75 SLICEL SLICE[1,0] SLICE_X13Y75 |
VBRK VBRK_X55Y79 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y79 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y79 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y79 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y79 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y79 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y79 |
VFRAME VFRAME_X68Y79 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y75 |
INT_L INT_L_X10Y75 TIEOFF TIEOFF[0,0] TIEOFF_X11Y75 |
INT_R INT_R_X11Y75 TIEOFF TIEOFF[0,0] TIEOFF_X12Y75 |
CLBLL_R CLBLL_R_X11Y75 SLICEL SLICE[0,0] SLICE_X14Y75 SLICEL SLICE[1,0] SLICE_X15Y75 |
CLBLM_L CLBLM_L_X12Y75 SLICEM SLICE[0,0] SLICE_X16Y75 SLICEL SLICE[1,0] SLICE_X17Y75 |
INT_L INT_L_X12Y75 TIEOFF TIEOFF[0,0] TIEOFF_X13Y75 |
INT_R INT_R_X13Y75 TIEOFF TIEOFF[0,0] TIEOFF_X14Y75 |
CLBLL_R CLBLL_R_X13Y75 SLICEL SLICE[0,0] SLICE_X18Y75 SLICEL SLICE[1,0] SLICE_X19Y75 |
VBRK VBRK_X77Y79 |
CLBLM_L CLBLM_L_X14Y75 SLICEM SLICE[0,0] SLICE_X20Y75 SLICEL SLICE[1,0] SLICE_X21Y75 |
INT_L INT_L_X14Y75 TIEOFF TIEOFF[0,0] TIEOFF_X15Y75 |
INT_R INT_R_X15Y75 TIEOFF TIEOFF[0,0] TIEOFF_X16Y75 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y75 |
VBRK VBRK_X83Y79 |
CLBLL_L CLBLL_L_X16Y75 SLICEL SLICE[0,0] SLICE_X22Y75 SLICEL SLICE[1,0] SLICE_X23Y75 |
INT_L INT_L_X16Y75 TIEOFF TIEOFF[0,0] TIEOFF_X17Y75 |
INT_R INT_R_X17Y75 TIEOFF TIEOFF[0,0] TIEOFF_X18Y75 |
CLBLM_R CLBLM_R_X17Y75 SLICEM SLICE[0,0] SLICE_X24Y75 SLICEL SLICE[1,0] SLICE_X25Y75 |
VBRK VBRK_X88Y79 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y75 |
INT_L INT_L_X18Y75 TIEOFF TIEOFF[0,0] TIEOFF_X19Y75 |
INT_R INT_R_X19Y75 TIEOFF TIEOFF[0,0] TIEOFF_X20Y75 |
CLBLL_R CLBLL_R_X19Y75 SLICEL SLICE[0,0] SLICE_X26Y75 SLICEL SLICE[1,0] SLICE_X27Y75 |
CLBLM_L CLBLM_L_X20Y75 SLICEM SLICE[0,0] SLICE_X28Y75 SLICEL SLICE[1,0] SLICE_X29Y75 |
INT_L INT_L_X20Y75 TIEOFF TIEOFF[0,0] TIEOFF_X21Y75 |
INT_R INT_R_X21Y75 TIEOFF TIEOFF[0,0] TIEOFF_X22Y75 |
CLBLM_R CLBLM_R_X21Y75 SLICEM SLICE[0,0] SLICE_X30Y75 SLICEL SLICE[1,0] SLICE_X31Y75 |
VBRK VBRK_X98Y79 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y75 |
INT_L INT_L_X22Y75 TIEOFF TIEOFF[0,0] TIEOFF_X24Y75 |
INT_R INT_R_X23Y75 TIEOFF TIEOFF[0,0] TIEOFF_X25Y75 |
CLBLM_R CLBLM_R_X23Y75 SLICEM SLICE[0,0] SLICE_X32Y75 SLICEL SLICE[1,0] SLICE_X33Y75 |
CLBLM_L CLBLM_L_X24Y75 SLICEM SLICE[0,0] SLICE_X34Y75 SLICEL SLICE[1,0] SLICE_X35Y75 |
INT_L INT_L_X24Y75 TIEOFF TIEOFF[0,0] TIEOFF_X26Y75 |
INT_R INT_R_X25Y75 TIEOFF TIEOFF[0,0] TIEOFF_X27Y75 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y75 |
VBRK VBRK_X109Y79 |
CLBLL_L CLBLL_L_X26Y75 SLICEL SLICE[0,0] SLICE_X36Y75 SLICEL SLICE[1,0] SLICE_X37Y75 |
INT_L INT_L_X26Y75 TIEOFF TIEOFF[0,0] TIEOFF_X28Y75 |
INT_R INT_R_X27Y75 TIEOFF TIEOFF[0,0] TIEOFF_X29Y75 |
CLBLM_R CLBLM_R_X27Y75 SLICEM SLICE[0,0] SLICE_X38Y75 SLICEL SLICE[1,0] SLICE_X39Y75 |
CLBLL_L CLBLL_L_X28Y75 SLICEL SLICE[0,0] SLICE_X40Y75 SLICEL SLICE[1,0] SLICE_X41Y75 |
INT_L INT_L_X28Y75 TIEOFF TIEOFF[0,0] TIEOFF_X30Y75 |
INT_R INT_R_X29Y75 TIEOFF TIEOFF[0,0] TIEOFF_X31Y75 |
CLBLM_R CLBLM_R_X29Y75 SLICEM SLICE[0,0] SLICE_X42Y75 SLICEL SLICE[1,0] SLICE_X43Y75 |
VBRK VBRK_X118Y79 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y75 |
INT_L INT_L_X30Y75 TIEOFF TIEOFF[0,0] TIEOFF_X32Y75 |
INT_R INT_R_X31Y75 TIEOFF TIEOFF[0,0] TIEOFF_X33Y75 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y75 |
R_TERM_INT R_TERM_INT_X125Y79 |
|||||||||||||||||||||||||||||||||||||||||||
HCLK_CLB HCLK_CLB_X31Y78 |
HCLK_L HCLK_L_X32Y78 |
HCLK_R HCLK_R_X33Y78 |
HCLK_CLB HCLK_CLB_X34Y78 |
HCLK_CLB HCLK_CLB_X35Y78 |
HCLK_L HCLK_L_X36Y78 |
HCLK_R HCLK_R_X37Y78 |
HCLK_CLB HCLK_CLB_X38Y78 |
HCLK_VBRK HCLK_VBRK_X39Y78 |
HCLK_BRAM HCLK_BRAM_X40Y78 PMVBRAM PMVBRAM[0,0] PMVBRAM_X0Y1 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X41Y78 |
HCLK_L HCLK_L_X42Y78 |
HCLK_R HCLK_R_X43Y78 |
HCLK_CLB HCLK_CLB_X44Y78 |
HCLK_CLB HCLK_CLB_X45Y78 |
HCLK_L HCLK_L_X46Y78 |
HCLK_R HCLK_R_X47Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X48Y78 |
HCLK_DSP_R HCLK_DSP_R_X49Y78 |
HCLK_VBRK HCLK_VBRK_X50Y78 |
HCLK_CLB HCLK_CLB_X51Y78 |
HCLK_L HCLK_L_X52Y78 |
HCLK_R HCLK_R_X53Y78 |
HCLK_CLB HCLK_CLB_X54Y78 |
HCLK_VBRK HCLK_VBRK_X55Y78 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X56Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X57Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X58Y78 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X59Y78 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X60Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X61Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X62Y78 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X63Y78 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X64Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X65Y78 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X66Y78 |
HCLK_FEEDTHRU_1_PELE HCLK_FEEDTHRU_1_PELE_X67Y78 |
HCLK_VFRAME HCLK_VFRAME_X68Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X69Y78 |
HCLK_L HCLK_L_X70Y78 |
HCLK_R HCLK_R_X71Y78 |
HCLK_CLB HCLK_CLB_X72Y78 |
HCLK_CLB HCLK_CLB_X73Y78 |
HCLK_L HCLK_L_X74Y78 |
HCLK_R HCLK_R_X75Y78 |
HCLK_CLB HCLK_CLB_X76Y78 |
HCLK_VBRK HCLK_VBRK_X77Y78 |
HCLK_CLB HCLK_CLB_X78Y78 |
HCLK_L HCLK_L_X79Y78 |
HCLK_R HCLK_R_X80Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X81Y78 |
HCLK_VBRK HCLK_VBRK_X83Y78 |
HCLK_CLB HCLK_CLB_X84Y78 |
HCLK_L HCLK_L_X85Y78 |
HCLK_R HCLK_R_X86Y78 |
HCLK_CLB HCLK_CLB_X87Y78 |
HCLK_VBRK HCLK_VBRK_X88Y78 |
HCLK_BRAM HCLK_BRAM_X89Y78 PMVBRAM PMVBRAM[0,0] PMVBRAM_X1Y1 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X90Y78 |
HCLK_L HCLK_L_X91Y78 |
HCLK_R HCLK_R_X92Y78 |
HCLK_CLB HCLK_CLB_X93Y78 |
HCLK_CLB HCLK_CLB_X94Y78 |
HCLK_L HCLK_L_X95Y78 |
HCLK_R HCLK_R_X96Y78 |
HCLK_CLB HCLK_CLB_X97Y78 |
HCLK_VBRK HCLK_VBRK_X98Y78 |
HCLK_DSP_L HCLK_DSP_L_X99Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X100Y78 |
HCLK_L HCLK_L_X101Y78 |
HCLK_R HCLK_R_X102Y78 |
HCLK_CLB HCLK_CLB_X103Y78 |
HCLK_CLB HCLK_CLB_X104Y78 |
HCLK_L HCLK_L_X105Y78 |
HCLK_R HCLK_R_X106Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X107Y78 |
HCLK_BRAM HCLK_BRAM_X108Y78 PMVBRAM PMVBRAM[0,0] PMVBRAM_X2Y1 |
HCLK_VBRK HCLK_VBRK_X109Y78 |
HCLK_CLB HCLK_CLB_X110Y78 |
HCLK_L HCLK_L_X111Y78 |
HCLK_R HCLK_R_X112Y78 |
HCLK_CLB HCLK_CLB_X113Y78 |
HCLK_CLB HCLK_CLB_X114Y78 |
HCLK_L HCLK_L_X115Y78 |
HCLK_R HCLK_R_X116Y78 |
HCLK_CLB HCLK_CLB_X117Y78 |
HCLK_VBRK HCLK_VBRK_X118Y78 |
HCLK_CMT_L HCLK_CMT_L_X119Y78 BUFMRCE BUFMRCE[0,0] BUFMRCE_X0Y2 BUFMRCE BUFMRCE[0,1] BUFMRCE_X0Y3 |
HCLK_FIFO_L HCLK_FIFO_L_X120Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X121Y78 |
HCLK_L HCLK_L_X122Y78 |
HCLK_R HCLK_R_X123Y78 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X124Y78 |
HCLK_TERM HCLK_TERM_X125Y78 |
HCLK_IOI3 HCLK_IOI3_X126Y78 BUFIO BUFIO[0,0] BUFIO_X0Y4 BUFIO BUFIO[0,1] BUFIO_X0Y5 BUFIO BUFIO[0,2] BUFIO_X0Y6 BUFIO BUFIO[0,3] BUFIO_X0Y7 BUFR BUFR[0,0] BUFR_X0Y4 BUFR BUFR[0,1] BUFR_X0Y5 BUFR BUFR[0,2] BUFR_X0Y6 BUFR BUFR[0,3] BUFR_X0Y7 IDELAYCTRL IDELAYCTRL[0,0] IDELAYCTRL_X0Y1 |
HCLK_IOB HCLK_IOB_X127Y78 |
|||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y74 |
INT_L INT_L_X0Y74 TIEOFF TIEOFF[0,0] TIEOFF_X0Y74 |
INT_R INT_R_X1Y74 TIEOFF TIEOFF[0,0] TIEOFF_X1Y74 |
CLBLM_R CLBLM_R_X1Y74 SLICEM SLICE[0,0] SLICE_X0Y74 SLICEL SLICE[1,0] SLICE_X1Y74 |
CLBLL_L CLBLL_L_X2Y74 SLICEL SLICE[0,0] SLICE_X2Y74 SLICEL SLICE[1,0] SLICE_X3Y74 |
INT_L INT_L_X2Y74 TIEOFF TIEOFF[0,0] TIEOFF_X2Y74 |
INT_R INT_R_X3Y74 TIEOFF TIEOFF[0,0] TIEOFF_X3Y74 |
CLBLM_R CLBLM_R_X3Y74 SLICEM SLICE[0,0] SLICE_X4Y74 SLICEL SLICE[1,0] SLICE_X5Y74 |
VBRK VBRK_X39Y77 |
BRAM_L BRAM_L_X4Y70 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y14 FIFO18E1 RAMB18[0,0] RAMB18_X0Y28 RAMB18E1 RAMB18[0,1] RAMB18_X0Y29 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y74 |
INT_L INT_L_X4Y74 TIEOFF TIEOFF[0,0] TIEOFF_X4Y74 |
INT_R INT_R_X5Y74 TIEOFF TIEOFF[0,0] TIEOFF_X5Y74 |
CLBLM_R CLBLM_R_X5Y74 SLICEM SLICE[0,0] SLICE_X6Y74 SLICEL SLICE[1,0] SLICE_X7Y74 |
CLBLM_L CLBLM_L_X6Y74 SLICEM SLICE[0,0] SLICE_X8Y74 SLICEL SLICE[1,0] SLICE_X9Y74 |
INT_L INT_L_X6Y74 TIEOFF TIEOFF[0,0] TIEOFF_X6Y74 |
INT_R INT_R_X7Y74 TIEOFF TIEOFF[0,0] TIEOFF_X7Y74 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y74 |
DSP_R DSP_R_X7Y70 TIEOFF TIEOFF[0,0] TIEOFF_X8Y70 DSP48E1 DSP48[0,0] DSP48_X0Y28 DSP48E1 DSP48[0,1] DSP48_X0Y29 |
VBRK VBRK_X50Y77 |
CLBLM_L CLBLM_L_X8Y74 SLICEM SLICE[0,0] SLICE_X10Y74 SLICEL SLICE[1,0] SLICE_X11Y74 |
INT_L INT_L_X8Y74 TIEOFF TIEOFF[0,0] TIEOFF_X9Y74 |
INT_R INT_R_X9Y74 TIEOFF TIEOFF[0,0] TIEOFF_X10Y74 |
CLBLM_R CLBLM_R_X9Y74 SLICEM SLICE[0,0] SLICE_X12Y74 SLICEL SLICE[1,0] SLICE_X13Y74 |
VBRK VBRK_X55Y77 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y77 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y77 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y77 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y77 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y77 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y77 |
CFG_SECURITY_TOP_PELE1 CFG_SECURITY_TOP_PELE1_X67Y73 |
VFRAME VFRAME_X68Y77 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y74 |
INT_L INT_L_X10Y74 TIEOFF TIEOFF[0,0] TIEOFF_X11Y74 |
INT_R INT_R_X11Y74 TIEOFF TIEOFF[0,0] TIEOFF_X12Y74 |
CLBLL_R CLBLL_R_X11Y74 SLICEL SLICE[0,0] SLICE_X14Y74 SLICEL SLICE[1,0] SLICE_X15Y74 |
CLBLM_L CLBLM_L_X12Y74 SLICEM SLICE[0,0] SLICE_X16Y74 SLICEL SLICE[1,0] SLICE_X17Y74 |
INT_L INT_L_X12Y74 TIEOFF TIEOFF[0,0] TIEOFF_X13Y74 |
INT_R INT_R_X13Y74 TIEOFF TIEOFF[0,0] TIEOFF_X14Y74 |
CLBLL_R CLBLL_R_X13Y74 SLICEL SLICE[0,0] SLICE_X18Y74 SLICEL SLICE[1,0] SLICE_X19Y74 |
VBRK VBRK_X77Y77 |
CLBLM_L CLBLM_L_X14Y74 SLICEM SLICE[0,0] SLICE_X20Y74 SLICEL SLICE[1,0] SLICE_X21Y74 |
INT_L INT_L_X14Y74 TIEOFF TIEOFF[0,0] TIEOFF_X15Y74 |
INT_R INT_R_X15Y74 TIEOFF TIEOFF[0,0] TIEOFF_X16Y74 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y74 |
VBRK VBRK_X83Y77 |
CLBLL_L CLBLL_L_X16Y74 SLICEL SLICE[0,0] SLICE_X22Y74 SLICEL SLICE[1,0] SLICE_X23Y74 |
INT_L INT_L_X16Y74 TIEOFF TIEOFF[0,0] TIEOFF_X17Y74 |
INT_R INT_R_X17Y74 TIEOFF TIEOFF[0,0] TIEOFF_X18Y74 |
CLBLM_R CLBLM_R_X17Y74 SLICEM SLICE[0,0] SLICE_X24Y74 SLICEL SLICE[1,0] SLICE_X25Y74 |
VBRK VBRK_X88Y77 |
BRAM_L BRAM_L_X18Y70 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y14 FIFO18E1 RAMB18[0,0] RAMB18_X1Y28 RAMB18E1 RAMB18[0,1] RAMB18_X1Y29 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y74 |
INT_L INT_L_X18Y74 TIEOFF TIEOFF[0,0] TIEOFF_X19Y74 |
INT_R INT_R_X19Y74 TIEOFF TIEOFF[0,0] TIEOFF_X20Y74 |
CLBLL_R CLBLL_R_X19Y74 SLICEL SLICE[0,0] SLICE_X26Y74 SLICEL SLICE[1,0] SLICE_X27Y74 |
CLBLM_L CLBLM_L_X20Y74 SLICEM SLICE[0,0] SLICE_X28Y74 SLICEL SLICE[1,0] SLICE_X29Y74 |
INT_L INT_L_X20Y74 TIEOFF TIEOFF[0,0] TIEOFF_X21Y74 |
INT_R INT_R_X21Y74 TIEOFF TIEOFF[0,0] TIEOFF_X22Y74 |
CLBLM_R CLBLM_R_X21Y74 SLICEM SLICE[0,0] SLICE_X30Y74 SLICEL SLICE[1,0] SLICE_X31Y74 |
VBRK VBRK_X98Y77 |
DSP_L DSP_L_X22Y70 TIEOFF TIEOFF[0,0] TIEOFF_X23Y70 DSP48E1 DSP48[0,0] DSP48_X1Y28 DSP48E1 DSP48[0,1] DSP48_X1Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y74 |
INT_L INT_L_X22Y74 TIEOFF TIEOFF[0,0] TIEOFF_X24Y74 |
INT_R INT_R_X23Y74 TIEOFF TIEOFF[0,0] TIEOFF_X25Y74 |
CLBLM_R CLBLM_R_X23Y74 SLICEM SLICE[0,0] SLICE_X32Y74 SLICEL SLICE[1,0] SLICE_X33Y74 |
CLBLM_L CLBLM_L_X24Y74 SLICEM SLICE[0,0] SLICE_X34Y74 SLICEL SLICE[1,0] SLICE_X35Y74 |
INT_L INT_L_X24Y74 TIEOFF TIEOFF[0,0] TIEOFF_X26Y74 |
INT_R INT_R_X25Y74 TIEOFF TIEOFF[0,0] TIEOFF_X27Y74 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y74 |
BRAM_R BRAM_R_X25Y70 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y14 FIFO18E1 RAMB18[0,0] RAMB18_X2Y28 RAMB18E1 RAMB18[0,1] RAMB18_X2Y29 |
VBRK VBRK_X109Y77 |
CLBLL_L CLBLL_L_X26Y74 SLICEL SLICE[0,0] SLICE_X36Y74 SLICEL SLICE[1,0] SLICE_X37Y74 |
INT_L INT_L_X26Y74 TIEOFF TIEOFF[0,0] TIEOFF_X28Y74 |
INT_R INT_R_X27Y74 TIEOFF TIEOFF[0,0] TIEOFF_X29Y74 |
CLBLM_R CLBLM_R_X27Y74 SLICEM SLICE[0,0] SLICE_X38Y74 SLICEL SLICE[1,0] SLICE_X39Y74 |
CLBLL_L CLBLL_L_X28Y74 SLICEL SLICE[0,0] SLICE_X40Y74 SLICEL SLICE[1,0] SLICE_X41Y74 |
INT_L INT_L_X28Y74 TIEOFF TIEOFF[0,0] TIEOFF_X30Y74 |
INT_R INT_R_X29Y74 TIEOFF TIEOFF[0,0] TIEOFF_X31Y74 |
CLBLM_R CLBLM_R_X29Y74 SLICEM SLICE[0,0] SLICE_X42Y74 SLICEL SLICE[1,0] SLICE_X43Y74 |
VBRK VBRK_X118Y77 |
CMT_TOP_L_LOWER_T CMT_TOP_L_LOWER_T_X119Y70 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y4 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y5 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y4 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y5 |
CMT_FIFO_L CMT_FIFO_L_X120Y72 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y5 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y74 |
INT_L INT_L_X30Y74 TIEOFF TIEOFF[0,0] TIEOFF_X32Y74 |
INT_R INT_R_X31Y74 TIEOFF TIEOFF[0,0] TIEOFF_X33Y74 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y74 |
R_TERM_INT R_TERM_INT_X125Y77 |
RIOI3 RIOI3_X31Y73 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y73 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y74 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y73 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y74 IDELAYE2 IDELAY[0,0] IDELAY_X0Y73 IDELAYE2 IDELAY[0,1] IDELAY_X0Y74 |
RIOB33 RIOB33_X31Y73 IOB33S IOB[0,0] IOB_X0Y73 IOB33M IOB[0,1] IOB_X0Y74 |
|||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y73 |
INT_L INT_L_X0Y73 TIEOFF TIEOFF[0,0] TIEOFF_X0Y73 |
INT_R INT_R_X1Y73 TIEOFF TIEOFF[0,0] TIEOFF_X1Y73 |
CLBLM_R CLBLM_R_X1Y73 SLICEM SLICE[0,0] SLICE_X0Y73 SLICEL SLICE[1,0] SLICE_X1Y73 |
CLBLL_L CLBLL_L_X2Y73 SLICEL SLICE[0,0] SLICE_X2Y73 SLICEL SLICE[1,0] SLICE_X3Y73 |
INT_L INT_L_X2Y73 TIEOFF TIEOFF[0,0] TIEOFF_X2Y73 |
INT_R INT_R_X3Y73 TIEOFF TIEOFF[0,0] TIEOFF_X3Y73 |
CLBLM_R CLBLM_R_X3Y73 SLICEM SLICE[0,0] SLICE_X4Y73 SLICEL SLICE[1,0] SLICE_X5Y73 |
VBRK VBRK_X39Y76 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y73 |
INT_L INT_L_X4Y73 TIEOFF TIEOFF[0,0] TIEOFF_X4Y73 |
INT_R INT_R_X5Y73 TIEOFF TIEOFF[0,0] TIEOFF_X5Y73 |
CLBLM_R CLBLM_R_X5Y73 SLICEM SLICE[0,0] SLICE_X6Y73 SLICEL SLICE[1,0] SLICE_X7Y73 |
CLBLM_L CLBLM_L_X6Y73 SLICEM SLICE[0,0] SLICE_X8Y73 SLICEL SLICE[1,0] SLICE_X9Y73 |
INT_L INT_L_X6Y73 TIEOFF TIEOFF[0,0] TIEOFF_X6Y73 |
INT_R INT_R_X7Y73 TIEOFF TIEOFF[0,0] TIEOFF_X7Y73 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y73 |
VBRK VBRK_X50Y76 |
CLBLM_L CLBLM_L_X8Y73 SLICEM SLICE[0,0] SLICE_X10Y73 SLICEL SLICE[1,0] SLICE_X11Y73 |
INT_L INT_L_X8Y73 TIEOFF TIEOFF[0,0] TIEOFF_X9Y73 |
INT_R INT_R_X9Y73 TIEOFF TIEOFF[0,0] TIEOFF_X10Y73 |
CLBLM_R CLBLM_R_X9Y73 SLICEM SLICE[0,0] SLICE_X12Y73 SLICEL SLICE[1,0] SLICE_X13Y73 |
VBRK VBRK_X55Y76 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y76 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y76 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y76 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y76 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y76 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y76 |
VFRAME VFRAME_X68Y76 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y73 |
INT_L INT_L_X10Y73 TIEOFF TIEOFF[0,0] TIEOFF_X11Y73 |
INT_R INT_R_X11Y73 TIEOFF TIEOFF[0,0] TIEOFF_X12Y73 |
CLBLL_R CLBLL_R_X11Y73 SLICEL SLICE[0,0] SLICE_X14Y73 SLICEL SLICE[1,0] SLICE_X15Y73 |
CLBLM_L CLBLM_L_X12Y73 SLICEM SLICE[0,0] SLICE_X16Y73 SLICEL SLICE[1,0] SLICE_X17Y73 |
INT_L INT_L_X12Y73 TIEOFF TIEOFF[0,0] TIEOFF_X13Y73 |
INT_R INT_R_X13Y73 TIEOFF TIEOFF[0,0] TIEOFF_X14Y73 |
CLBLL_R CLBLL_R_X13Y73 SLICEL SLICE[0,0] SLICE_X18Y73 SLICEL SLICE[1,0] SLICE_X19Y73 |
VBRK VBRK_X77Y76 |
CLBLM_L CLBLM_L_X14Y73 SLICEM SLICE[0,0] SLICE_X20Y73 SLICEL SLICE[1,0] SLICE_X21Y73 |
INT_L INT_L_X14Y73 TIEOFF TIEOFF[0,0] TIEOFF_X15Y73 |
INT_R INT_R_X15Y73 TIEOFF TIEOFF[0,0] TIEOFF_X16Y73 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y73 |
VBRK VBRK_X83Y76 |
CLBLL_L CLBLL_L_X16Y73 SLICEL SLICE[0,0] SLICE_X22Y73 SLICEL SLICE[1,0] SLICE_X23Y73 |
INT_L INT_L_X16Y73 TIEOFF TIEOFF[0,0] TIEOFF_X17Y73 |
INT_R INT_R_X17Y73 TIEOFF TIEOFF[0,0] TIEOFF_X18Y73 |
CLBLM_R CLBLM_R_X17Y73 SLICEM SLICE[0,0] SLICE_X24Y73 SLICEL SLICE[1,0] SLICE_X25Y73 |
VBRK VBRK_X88Y76 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y73 |
INT_L INT_L_X18Y73 TIEOFF TIEOFF[0,0] TIEOFF_X19Y73 |
INT_R INT_R_X19Y73 TIEOFF TIEOFF[0,0] TIEOFF_X20Y73 |
CLBLL_R CLBLL_R_X19Y73 SLICEL SLICE[0,0] SLICE_X26Y73 SLICEL SLICE[1,0] SLICE_X27Y73 |
CLBLM_L CLBLM_L_X20Y73 SLICEM SLICE[0,0] SLICE_X28Y73 SLICEL SLICE[1,0] SLICE_X29Y73 |
INT_L INT_L_X20Y73 TIEOFF TIEOFF[0,0] TIEOFF_X21Y73 |
INT_R INT_R_X21Y73 TIEOFF TIEOFF[0,0] TIEOFF_X22Y73 |
CLBLM_R CLBLM_R_X21Y73 SLICEM SLICE[0,0] SLICE_X30Y73 SLICEL SLICE[1,0] SLICE_X31Y73 |
VBRK VBRK_X98Y76 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y73 |
INT_L INT_L_X22Y73 TIEOFF TIEOFF[0,0] TIEOFF_X24Y73 |
INT_R INT_R_X23Y73 TIEOFF TIEOFF[0,0] TIEOFF_X25Y73 |
CLBLM_R CLBLM_R_X23Y73 SLICEM SLICE[0,0] SLICE_X32Y73 SLICEL SLICE[1,0] SLICE_X33Y73 |
CLBLM_L CLBLM_L_X24Y73 SLICEM SLICE[0,0] SLICE_X34Y73 SLICEL SLICE[1,0] SLICE_X35Y73 |
INT_L INT_L_X24Y73 TIEOFF TIEOFF[0,0] TIEOFF_X26Y73 |
INT_R INT_R_X25Y73 TIEOFF TIEOFF[0,0] TIEOFF_X27Y73 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y73 |
VBRK VBRK_X109Y76 |
CLBLL_L CLBLL_L_X26Y73 SLICEL SLICE[0,0] SLICE_X36Y73 SLICEL SLICE[1,0] SLICE_X37Y73 |
INT_L INT_L_X26Y73 TIEOFF TIEOFF[0,0] TIEOFF_X28Y73 |
INT_R INT_R_X27Y73 TIEOFF TIEOFF[0,0] TIEOFF_X29Y73 |
CLBLM_R CLBLM_R_X27Y73 SLICEM SLICE[0,0] SLICE_X38Y73 SLICEL SLICE[1,0] SLICE_X39Y73 |
CLBLL_L CLBLL_L_X28Y73 SLICEL SLICE[0,0] SLICE_X40Y73 SLICEL SLICE[1,0] SLICE_X41Y73 |
INT_L INT_L_X28Y73 TIEOFF TIEOFF[0,0] TIEOFF_X30Y73 |
INT_R INT_R_X29Y73 TIEOFF TIEOFF[0,0] TIEOFF_X31Y73 |
CLBLM_R CLBLM_R_X29Y73 SLICEM SLICE[0,0] SLICE_X42Y73 SLICEL SLICE[1,0] SLICE_X43Y73 |
VBRK VBRK_X118Y76 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y73 |
INT_L INT_L_X30Y73 TIEOFF TIEOFF[0,0] TIEOFF_X32Y73 |
INT_R INT_R_X31Y73 TIEOFF TIEOFF[0,0] TIEOFF_X33Y73 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y73 |
R_TERM_INT R_TERM_INT_X125Y76 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y72 |
INT_L INT_L_X0Y72 TIEOFF TIEOFF[0,0] TIEOFF_X0Y72 |
INT_R INT_R_X1Y72 TIEOFF TIEOFF[0,0] TIEOFF_X1Y72 |
CLBLM_R CLBLM_R_X1Y72 SLICEM SLICE[0,0] SLICE_X0Y72 SLICEL SLICE[1,0] SLICE_X1Y72 |
CLBLL_L CLBLL_L_X2Y72 SLICEL SLICE[0,0] SLICE_X2Y72 SLICEL SLICE[1,0] SLICE_X3Y72 |
INT_L INT_L_X2Y72 TIEOFF TIEOFF[0,0] TIEOFF_X2Y72 |
INT_R INT_R_X3Y72 TIEOFF TIEOFF[0,0] TIEOFF_X3Y72 |
CLBLM_R CLBLM_R_X3Y72 SLICEM SLICE[0,0] SLICE_X4Y72 SLICEL SLICE[1,0] SLICE_X5Y72 |
VBRK VBRK_X39Y75 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y72 |
INT_L INT_L_X4Y72 TIEOFF TIEOFF[0,0] TIEOFF_X4Y72 |
INT_R INT_R_X5Y72 TIEOFF TIEOFF[0,0] TIEOFF_X5Y72 |
CLBLM_R CLBLM_R_X5Y72 SLICEM SLICE[0,0] SLICE_X6Y72 SLICEL SLICE[1,0] SLICE_X7Y72 |
CLBLM_L CLBLM_L_X6Y72 SLICEM SLICE[0,0] SLICE_X8Y72 SLICEL SLICE[1,0] SLICE_X9Y72 |
INT_L INT_L_X6Y72 TIEOFF TIEOFF[0,0] TIEOFF_X6Y72 |
INT_R INT_R_X7Y72 TIEOFF TIEOFF[0,0] TIEOFF_X7Y72 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y72 |
VBRK VBRK_X50Y75 |
CLBLM_L CLBLM_L_X8Y72 SLICEM SLICE[0,0] SLICE_X10Y72 SLICEL SLICE[1,0] SLICE_X11Y72 |
INT_L INT_L_X8Y72 TIEOFF TIEOFF[0,0] TIEOFF_X9Y72 |
INT_R INT_R_X9Y72 TIEOFF TIEOFF[0,0] TIEOFF_X10Y72 |
CLBLM_R CLBLM_R_X9Y72 SLICEM SLICE[0,0] SLICE_X12Y72 SLICEL SLICE[1,0] SLICE_X13Y72 |
VBRK VBRK_X55Y75 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y75 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y75 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y75 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y75 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y75 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y75 |
VFRAME VFRAME_X68Y75 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y72 |
INT_L INT_L_X10Y72 TIEOFF TIEOFF[0,0] TIEOFF_X11Y72 |
INT_R INT_R_X11Y72 TIEOFF TIEOFF[0,0] TIEOFF_X12Y72 |
CLBLL_R CLBLL_R_X11Y72 SLICEL SLICE[0,0] SLICE_X14Y72 SLICEL SLICE[1,0] SLICE_X15Y72 |
CLBLM_L CLBLM_L_X12Y72 SLICEM SLICE[0,0] SLICE_X16Y72 SLICEL SLICE[1,0] SLICE_X17Y72 |
INT_L INT_L_X12Y72 TIEOFF TIEOFF[0,0] TIEOFF_X13Y72 |
INT_R INT_R_X13Y72 TIEOFF TIEOFF[0,0] TIEOFF_X14Y72 |
CLBLL_R CLBLL_R_X13Y72 SLICEL SLICE[0,0] SLICE_X18Y72 SLICEL SLICE[1,0] SLICE_X19Y72 |
VBRK VBRK_X77Y75 |
CLBLM_L CLBLM_L_X14Y72 SLICEM SLICE[0,0] SLICE_X20Y72 SLICEL SLICE[1,0] SLICE_X21Y72 |
INT_L INT_L_X14Y72 TIEOFF TIEOFF[0,0] TIEOFF_X15Y72 |
INT_R INT_R_X15Y72 TIEOFF TIEOFF[0,0] TIEOFF_X16Y72 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y72 |
VBRK VBRK_X83Y75 |
CLBLL_L CLBLL_L_X16Y72 SLICEL SLICE[0,0] SLICE_X22Y72 SLICEL SLICE[1,0] SLICE_X23Y72 |
INT_L INT_L_X16Y72 TIEOFF TIEOFF[0,0] TIEOFF_X17Y72 |
INT_R INT_R_X17Y72 TIEOFF TIEOFF[0,0] TIEOFF_X18Y72 |
CLBLM_R CLBLM_R_X17Y72 SLICEM SLICE[0,0] SLICE_X24Y72 SLICEL SLICE[1,0] SLICE_X25Y72 |
VBRK VBRK_X88Y75 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y72 |
INT_L INT_L_X18Y72 TIEOFF TIEOFF[0,0] TIEOFF_X19Y72 |
INT_R INT_R_X19Y72 TIEOFF TIEOFF[0,0] TIEOFF_X20Y72 |
CLBLL_R CLBLL_R_X19Y72 SLICEL SLICE[0,0] SLICE_X26Y72 SLICEL SLICE[1,0] SLICE_X27Y72 |
CLBLM_L CLBLM_L_X20Y72 SLICEM SLICE[0,0] SLICE_X28Y72 SLICEL SLICE[1,0] SLICE_X29Y72 |
INT_L INT_L_X20Y72 TIEOFF TIEOFF[0,0] TIEOFF_X21Y72 |
INT_R INT_R_X21Y72 TIEOFF TIEOFF[0,0] TIEOFF_X22Y72 |
CLBLM_R CLBLM_R_X21Y72 SLICEM SLICE[0,0] SLICE_X30Y72 SLICEL SLICE[1,0] SLICE_X31Y72 |
VBRK VBRK_X98Y75 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y72 |
INT_L INT_L_X22Y72 TIEOFF TIEOFF[0,0] TIEOFF_X24Y72 |
INT_R INT_R_X23Y72 TIEOFF TIEOFF[0,0] TIEOFF_X25Y72 |
CLBLM_R CLBLM_R_X23Y72 SLICEM SLICE[0,0] SLICE_X32Y72 SLICEL SLICE[1,0] SLICE_X33Y72 |
CLBLM_L CLBLM_L_X24Y72 SLICEM SLICE[0,0] SLICE_X34Y72 SLICEL SLICE[1,0] SLICE_X35Y72 |
INT_L INT_L_X24Y72 TIEOFF TIEOFF[0,0] TIEOFF_X26Y72 |
INT_R INT_R_X25Y72 TIEOFF TIEOFF[0,0] TIEOFF_X27Y72 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y72 |
VBRK VBRK_X109Y75 |
CLBLL_L CLBLL_L_X26Y72 SLICEL SLICE[0,0] SLICE_X36Y72 SLICEL SLICE[1,0] SLICE_X37Y72 |
INT_L INT_L_X26Y72 TIEOFF TIEOFF[0,0] TIEOFF_X28Y72 |
INT_R INT_R_X27Y72 TIEOFF TIEOFF[0,0] TIEOFF_X29Y72 |
CLBLM_R CLBLM_R_X27Y72 SLICEM SLICE[0,0] SLICE_X38Y72 SLICEL SLICE[1,0] SLICE_X39Y72 |
CLBLL_L CLBLL_L_X28Y72 SLICEL SLICE[0,0] SLICE_X40Y72 SLICEL SLICE[1,0] SLICE_X41Y72 |
INT_L INT_L_X28Y72 TIEOFF TIEOFF[0,0] TIEOFF_X30Y72 |
INT_R INT_R_X29Y72 TIEOFF TIEOFF[0,0] TIEOFF_X31Y72 |
CLBLM_R CLBLM_R_X29Y72 SLICEM SLICE[0,0] SLICE_X42Y72 SLICEL SLICE[1,0] SLICE_X43Y72 |
VBRK VBRK_X118Y75 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y72 |
INT_L INT_L_X30Y72 TIEOFF TIEOFF[0,0] TIEOFF_X32Y72 |
INT_R INT_R_X31Y72 TIEOFF TIEOFF[0,0] TIEOFF_X33Y72 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y72 |
R_TERM_INT R_TERM_INT_X125Y75 |
RIOI3 RIOI3_X31Y71 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y71 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y72 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y71 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y72 IDELAYE2 IDELAY[0,0] IDELAY_X0Y71 IDELAYE2 IDELAY[0,1] IDELAY_X0Y72 |
RIOB33 RIOB33_X31Y71 IOB33S IOB[0,0] IOB_X0Y71 IOB33M IOB[0,1] IOB_X0Y72 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y71 |
INT_L INT_L_X0Y71 TIEOFF TIEOFF[0,0] TIEOFF_X0Y71 |
INT_R INT_R_X1Y71 TIEOFF TIEOFF[0,0] TIEOFF_X1Y71 |
CLBLM_R CLBLM_R_X1Y71 SLICEM SLICE[0,0] SLICE_X0Y71 SLICEL SLICE[1,0] SLICE_X1Y71 |
CLBLL_L CLBLL_L_X2Y71 SLICEL SLICE[0,0] SLICE_X2Y71 SLICEL SLICE[1,0] SLICE_X3Y71 |
INT_L INT_L_X2Y71 TIEOFF TIEOFF[0,0] TIEOFF_X2Y71 |
INT_R INT_R_X3Y71 TIEOFF TIEOFF[0,0] TIEOFF_X3Y71 |
CLBLM_R CLBLM_R_X3Y71 SLICEM SLICE[0,0] SLICE_X4Y71 SLICEL SLICE[1,0] SLICE_X5Y71 |
VBRK VBRK_X39Y74 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y71 |
INT_L INT_L_X4Y71 TIEOFF TIEOFF[0,0] TIEOFF_X4Y71 |
INT_R INT_R_X5Y71 TIEOFF TIEOFF[0,0] TIEOFF_X5Y71 |
CLBLM_R CLBLM_R_X5Y71 SLICEM SLICE[0,0] SLICE_X6Y71 SLICEL SLICE[1,0] SLICE_X7Y71 |
CLBLM_L CLBLM_L_X6Y71 SLICEM SLICE[0,0] SLICE_X8Y71 SLICEL SLICE[1,0] SLICE_X9Y71 |
INT_L INT_L_X6Y71 TIEOFF TIEOFF[0,0] TIEOFF_X6Y71 |
INT_R INT_R_X7Y71 TIEOFF TIEOFF[0,0] TIEOFF_X7Y71 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y71 |
VBRK VBRK_X50Y74 |
CLBLM_L CLBLM_L_X8Y71 SLICEM SLICE[0,0] SLICE_X10Y71 SLICEL SLICE[1,0] SLICE_X11Y71 |
INT_L INT_L_X8Y71 TIEOFF TIEOFF[0,0] TIEOFF_X9Y71 |
INT_R INT_R_X9Y71 TIEOFF TIEOFF[0,0] TIEOFF_X10Y71 |
CLBLM_R CLBLM_R_X9Y71 SLICEM SLICE[0,0] SLICE_X12Y71 SLICEL SLICE[1,0] SLICE_X13Y71 |
VBRK VBRK_X55Y74 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y74 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y74 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y74 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y74 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y74 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y74 |
VFRAME VFRAME_X68Y74 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y71 |
INT_L INT_L_X10Y71 TIEOFF TIEOFF[0,0] TIEOFF_X11Y71 |
INT_R INT_R_X11Y71 TIEOFF TIEOFF[0,0] TIEOFF_X12Y71 |
CLBLL_R CLBLL_R_X11Y71 SLICEL SLICE[0,0] SLICE_X14Y71 SLICEL SLICE[1,0] SLICE_X15Y71 |
CLBLM_L CLBLM_L_X12Y71 SLICEM SLICE[0,0] SLICE_X16Y71 SLICEL SLICE[1,0] SLICE_X17Y71 |
INT_L INT_L_X12Y71 TIEOFF TIEOFF[0,0] TIEOFF_X13Y71 |
INT_R INT_R_X13Y71 TIEOFF TIEOFF[0,0] TIEOFF_X14Y71 |
CLBLL_R CLBLL_R_X13Y71 SLICEL SLICE[0,0] SLICE_X18Y71 SLICEL SLICE[1,0] SLICE_X19Y71 |
VBRK VBRK_X77Y74 |
CLBLM_L CLBLM_L_X14Y71 SLICEM SLICE[0,0] SLICE_X20Y71 SLICEL SLICE[1,0] SLICE_X21Y71 |
INT_L INT_L_X14Y71 TIEOFF TIEOFF[0,0] TIEOFF_X15Y71 |
INT_R INT_R_X15Y71 TIEOFF TIEOFF[0,0] TIEOFF_X16Y71 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y71 |
VBRK VBRK_X83Y74 |
CLBLL_L CLBLL_L_X16Y71 SLICEL SLICE[0,0] SLICE_X22Y71 SLICEL SLICE[1,0] SLICE_X23Y71 |
INT_L INT_L_X16Y71 TIEOFF TIEOFF[0,0] TIEOFF_X17Y71 |
INT_R INT_R_X17Y71 TIEOFF TIEOFF[0,0] TIEOFF_X18Y71 |
CLBLM_R CLBLM_R_X17Y71 SLICEM SLICE[0,0] SLICE_X24Y71 SLICEL SLICE[1,0] SLICE_X25Y71 |
VBRK VBRK_X88Y74 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y71 |
INT_L INT_L_X18Y71 TIEOFF TIEOFF[0,0] TIEOFF_X19Y71 |
INT_R INT_R_X19Y71 TIEOFF TIEOFF[0,0] TIEOFF_X20Y71 |
CLBLL_R CLBLL_R_X19Y71 SLICEL SLICE[0,0] SLICE_X26Y71 SLICEL SLICE[1,0] SLICE_X27Y71 |
CLBLM_L CLBLM_L_X20Y71 SLICEM SLICE[0,0] SLICE_X28Y71 SLICEL SLICE[1,0] SLICE_X29Y71 |
INT_L INT_L_X20Y71 TIEOFF TIEOFF[0,0] TIEOFF_X21Y71 |
INT_R INT_R_X21Y71 TIEOFF TIEOFF[0,0] TIEOFF_X22Y71 |
CLBLM_R CLBLM_R_X21Y71 SLICEM SLICE[0,0] SLICE_X30Y71 SLICEL SLICE[1,0] SLICE_X31Y71 |
VBRK VBRK_X98Y74 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y71 |
INT_L INT_L_X22Y71 TIEOFF TIEOFF[0,0] TIEOFF_X24Y71 |
INT_R INT_R_X23Y71 TIEOFF TIEOFF[0,0] TIEOFF_X25Y71 |
CLBLM_R CLBLM_R_X23Y71 SLICEM SLICE[0,0] SLICE_X32Y71 SLICEL SLICE[1,0] SLICE_X33Y71 |
CLBLM_L CLBLM_L_X24Y71 SLICEM SLICE[0,0] SLICE_X34Y71 SLICEL SLICE[1,0] SLICE_X35Y71 |
INT_L INT_L_X24Y71 TIEOFF TIEOFF[0,0] TIEOFF_X26Y71 |
INT_R INT_R_X25Y71 TIEOFF TIEOFF[0,0] TIEOFF_X27Y71 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y71 |
VBRK VBRK_X109Y74 |
CLBLL_L CLBLL_L_X26Y71 SLICEL SLICE[0,0] SLICE_X36Y71 SLICEL SLICE[1,0] SLICE_X37Y71 |
INT_L INT_L_X26Y71 TIEOFF TIEOFF[0,0] TIEOFF_X28Y71 |
INT_R INT_R_X27Y71 TIEOFF TIEOFF[0,0] TIEOFF_X29Y71 |
CLBLM_R CLBLM_R_X27Y71 SLICEM SLICE[0,0] SLICE_X38Y71 SLICEL SLICE[1,0] SLICE_X39Y71 |
CLBLL_L CLBLL_L_X28Y71 SLICEL SLICE[0,0] SLICE_X40Y71 SLICEL SLICE[1,0] SLICE_X41Y71 |
INT_L INT_L_X28Y71 TIEOFF TIEOFF[0,0] TIEOFF_X30Y71 |
INT_R INT_R_X29Y71 TIEOFF TIEOFF[0,0] TIEOFF_X31Y71 |
CLBLM_R CLBLM_R_X29Y71 SLICEM SLICE[0,0] SLICE_X42Y71 SLICEL SLICE[1,0] SLICE_X43Y71 |
VBRK VBRK_X118Y74 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y71 |
INT_L INT_L_X30Y71 TIEOFF TIEOFF[0,0] TIEOFF_X32Y71 |
INT_R INT_R_X31Y71 TIEOFF TIEOFF[0,0] TIEOFF_X33Y71 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y71 |
R_TERM_INT R_TERM_INT_X125Y74 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y70 |
INT_L INT_L_X0Y70 TIEOFF TIEOFF[0,0] TIEOFF_X0Y70 |
INT_R INT_R_X1Y70 TIEOFF TIEOFF[0,0] TIEOFF_X1Y70 |
CLBLM_R CLBLM_R_X1Y70 SLICEM SLICE[0,0] SLICE_X0Y70 SLICEL SLICE[1,0] SLICE_X1Y70 |
CLBLL_L CLBLL_L_X2Y70 SLICEL SLICE[0,0] SLICE_X2Y70 SLICEL SLICE[1,0] SLICE_X3Y70 |
INT_L INT_L_X2Y70 TIEOFF TIEOFF[0,0] TIEOFF_X2Y70 |
INT_R INT_R_X3Y70 TIEOFF TIEOFF[0,0] TIEOFF_X3Y70 |
CLBLM_R CLBLM_R_X3Y70 SLICEM SLICE[0,0] SLICE_X4Y70 SLICEL SLICE[1,0] SLICE_X5Y70 |
VBRK VBRK_X39Y73 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y70 |
INT_L INT_L_X4Y70 TIEOFF TIEOFF[0,0] TIEOFF_X4Y70 |
INT_R INT_R_X5Y70 TIEOFF TIEOFF[0,0] TIEOFF_X5Y70 |
CLBLM_R CLBLM_R_X5Y70 SLICEM SLICE[0,0] SLICE_X6Y70 SLICEL SLICE[1,0] SLICE_X7Y70 |
CLBLM_L CLBLM_L_X6Y70 SLICEM SLICE[0,0] SLICE_X8Y70 SLICEL SLICE[1,0] SLICE_X9Y70 |
INT_L INT_L_X6Y70 TIEOFF TIEOFF[0,0] TIEOFF_X6Y70 |
INT_R INT_R_X7Y70 TIEOFF TIEOFF[0,0] TIEOFF_X7Y70 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y70 |
VBRK VBRK_X50Y73 |
CLBLM_L CLBLM_L_X8Y70 SLICEM SLICE[0,0] SLICE_X10Y70 SLICEL SLICE[1,0] SLICE_X11Y70 |
INT_L INT_L_X8Y70 TIEOFF TIEOFF[0,0] TIEOFF_X9Y70 |
INT_R INT_R_X9Y70 TIEOFF TIEOFF[0,0] TIEOFF_X10Y70 |
CLBLM_R CLBLM_R_X9Y70 SLICEM SLICE[0,0] SLICE_X12Y70 SLICEL SLICE[1,0] SLICE_X13Y70 |
VBRK VBRK_X55Y73 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y73 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y73 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y73 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y73 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y73 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y73 |
VFRAME VFRAME_X68Y73 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y70 |
INT_L INT_L_X10Y70 TIEOFF TIEOFF[0,0] TIEOFF_X11Y70 |
INT_R INT_R_X11Y70 TIEOFF TIEOFF[0,0] TIEOFF_X12Y70 |
CLBLL_R CLBLL_R_X11Y70 SLICEL SLICE[0,0] SLICE_X14Y70 SLICEL SLICE[1,0] SLICE_X15Y70 |
CLBLM_L CLBLM_L_X12Y70 SLICEM SLICE[0,0] SLICE_X16Y70 SLICEL SLICE[1,0] SLICE_X17Y70 |
INT_L INT_L_X12Y70 TIEOFF TIEOFF[0,0] TIEOFF_X13Y70 |
INT_R INT_R_X13Y70 TIEOFF TIEOFF[0,0] TIEOFF_X14Y70 |
CLBLL_R CLBLL_R_X13Y70 SLICEL SLICE[0,0] SLICE_X18Y70 SLICEL SLICE[1,0] SLICE_X19Y70 |
VBRK VBRK_X77Y73 |
CLBLM_L CLBLM_L_X14Y70 SLICEM SLICE[0,0] SLICE_X20Y70 SLICEL SLICE[1,0] SLICE_X21Y70 |
INT_L INT_L_X14Y70 TIEOFF TIEOFF[0,0] TIEOFF_X15Y70 |
INT_R INT_R_X15Y70 TIEOFF TIEOFF[0,0] TIEOFF_X16Y70 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y70 |
CLK_FEED CLK_FEED_X82Y73 |
VBRK VBRK_X83Y73 |
CLBLL_L CLBLL_L_X16Y70 SLICEL SLICE[0,0] SLICE_X22Y70 SLICEL SLICE[1,0] SLICE_X23Y70 |
INT_L INT_L_X16Y70 TIEOFF TIEOFF[0,0] TIEOFF_X17Y70 |
INT_R INT_R_X17Y70 TIEOFF TIEOFF[0,0] TIEOFF_X18Y70 |
CLBLM_R CLBLM_R_X17Y70 SLICEM SLICE[0,0] SLICE_X24Y70 SLICEL SLICE[1,0] SLICE_X25Y70 |
VBRK VBRK_X88Y73 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y70 |
INT_L INT_L_X18Y70 TIEOFF TIEOFF[0,0] TIEOFF_X19Y70 |
INT_R INT_R_X19Y70 TIEOFF TIEOFF[0,0] TIEOFF_X20Y70 |
CLBLL_R CLBLL_R_X19Y70 SLICEL SLICE[0,0] SLICE_X26Y70 SLICEL SLICE[1,0] SLICE_X27Y70 |
CLBLM_L CLBLM_L_X20Y70 SLICEM SLICE[0,0] SLICE_X28Y70 SLICEL SLICE[1,0] SLICE_X29Y70 |
INT_L INT_L_X20Y70 TIEOFF TIEOFF[0,0] TIEOFF_X21Y70 |
INT_R INT_R_X21Y70 TIEOFF TIEOFF[0,0] TIEOFF_X22Y70 |
CLBLM_R CLBLM_R_X21Y70 SLICEM SLICE[0,0] SLICE_X30Y70 SLICEL SLICE[1,0] SLICE_X31Y70 |
VBRK VBRK_X98Y73 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y70 |
INT_L INT_L_X22Y70 TIEOFF TIEOFF[0,0] TIEOFF_X24Y70 |
INT_R INT_R_X23Y70 TIEOFF TIEOFF[0,0] TIEOFF_X25Y70 |
CLBLM_R CLBLM_R_X23Y70 SLICEM SLICE[0,0] SLICE_X32Y70 SLICEL SLICE[1,0] SLICE_X33Y70 |
CLBLM_L CLBLM_L_X24Y70 SLICEM SLICE[0,0] SLICE_X34Y70 SLICEL SLICE[1,0] SLICE_X35Y70 |
INT_L INT_L_X24Y70 TIEOFF TIEOFF[0,0] TIEOFF_X26Y70 |
INT_R INT_R_X25Y70 TIEOFF TIEOFF[0,0] TIEOFF_X27Y70 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y70 |
VBRK VBRK_X109Y73 |
CLBLL_L CLBLL_L_X26Y70 SLICEL SLICE[0,0] SLICE_X36Y70 SLICEL SLICE[1,0] SLICE_X37Y70 |
INT_L INT_L_X26Y70 TIEOFF TIEOFF[0,0] TIEOFF_X28Y70 |
INT_R INT_R_X27Y70 TIEOFF TIEOFF[0,0] TIEOFF_X29Y70 |
CLBLM_R CLBLM_R_X27Y70 SLICEM SLICE[0,0] SLICE_X38Y70 SLICEL SLICE[1,0] SLICE_X39Y70 |
CLBLL_L CLBLL_L_X28Y70 SLICEL SLICE[0,0] SLICE_X40Y70 SLICEL SLICE[1,0] SLICE_X41Y70 |
INT_L INT_L_X28Y70 TIEOFF TIEOFF[0,0] TIEOFF_X30Y70 |
INT_R INT_R_X29Y70 TIEOFF TIEOFF[0,0] TIEOFF_X31Y70 |
CLBLM_R CLBLM_R_X29Y70 SLICEM SLICE[0,0] SLICE_X42Y70 SLICEL SLICE[1,0] SLICE_X43Y70 |
VBRK VBRK_X118Y73 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y70 |
INT_L INT_L_X30Y70 TIEOFF TIEOFF[0,0] TIEOFF_X32Y70 |
INT_R INT_R_X31Y70 TIEOFF TIEOFF[0,0] TIEOFF_X33Y70 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y70 |
R_TERM_INT R_TERM_INT_X125Y73 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y69 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y69 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y70 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y69 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y70 IDELAYE2 IDELAY[0,0] IDELAY_X0Y69 IDELAYE2 IDELAY[0,1] IDELAY_X0Y70 |
RIOB33 RIOB33_X31Y69 IOB33S IOB[0,0] IOB_X0Y69 IOB33M IOB[0,1] IOB_X0Y70 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y69 |
INT_L INT_L_X0Y69 TIEOFF TIEOFF[0,0] TIEOFF_X0Y69 |
INT_R INT_R_X1Y69 TIEOFF TIEOFF[0,0] TIEOFF_X1Y69 |
CLBLM_R CLBLM_R_X1Y69 SLICEM SLICE[0,0] SLICE_X0Y69 SLICEL SLICE[1,0] SLICE_X1Y69 |
CLBLL_L CLBLL_L_X2Y69 SLICEL SLICE[0,0] SLICE_X2Y69 SLICEL SLICE[1,0] SLICE_X3Y69 |
INT_L INT_L_X2Y69 TIEOFF TIEOFF[0,0] TIEOFF_X2Y69 |
INT_R INT_R_X3Y69 TIEOFF TIEOFF[0,0] TIEOFF_X3Y69 |
CLBLM_R CLBLM_R_X3Y69 SLICEM SLICE[0,0] SLICE_X4Y69 SLICEL SLICE[1,0] SLICE_X5Y69 |
VBRK VBRK_X39Y72 |
BRAM_L BRAM_L_X4Y65 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y13 FIFO18E1 RAMB18[0,0] RAMB18_X0Y26 RAMB18E1 RAMB18[0,1] RAMB18_X0Y27 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y69 |
INT_L INT_L_X4Y69 TIEOFF TIEOFF[0,0] TIEOFF_X4Y69 |
INT_R INT_R_X5Y69 TIEOFF TIEOFF[0,0] TIEOFF_X5Y69 |
CLBLM_R CLBLM_R_X5Y69 SLICEM SLICE[0,0] SLICE_X6Y69 SLICEL SLICE[1,0] SLICE_X7Y69 |
CLBLM_L CLBLM_L_X6Y69 SLICEM SLICE[0,0] SLICE_X8Y69 SLICEL SLICE[1,0] SLICE_X9Y69 |
INT_L INT_L_X6Y69 TIEOFF TIEOFF[0,0] TIEOFF_X6Y69 |
INT_R INT_R_X7Y69 TIEOFF TIEOFF[0,0] TIEOFF_X7Y69 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y69 |
DSP_R DSP_R_X7Y65 TIEOFF TIEOFF[0,0] TIEOFF_X8Y65 DSP48E1 DSP48[0,0] DSP48_X0Y26 DSP48E1 DSP48[0,1] DSP48_X0Y27 |
VBRK VBRK_X50Y72 |
CLBLM_L CLBLM_L_X8Y69 SLICEM SLICE[0,0] SLICE_X10Y69 SLICEL SLICE[1,0] SLICE_X11Y69 |
INT_L INT_L_X8Y69 TIEOFF TIEOFF[0,0] TIEOFF_X9Y69 |
INT_R INT_R_X9Y69 TIEOFF TIEOFF[0,0] TIEOFF_X10Y69 |
CLBLM_R CLBLM_R_X9Y69 SLICEM SLICE[0,0] SLICE_X12Y69 SLICEL SLICE[1,0] SLICE_X13Y69 |
VBRK VBRK_X55Y72 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y72 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y72 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y72 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y72 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y72 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y72 |
CFG_SECURITY_MID_PELE1 CFG_SECURITY_MID_PELE1_X67Y63 |
VFRAME VFRAME_X68Y72 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y69 |
INT_L INT_L_X10Y69 TIEOFF TIEOFF[0,0] TIEOFF_X11Y69 |
INT_R INT_R_X11Y69 TIEOFF TIEOFF[0,0] TIEOFF_X12Y69 |
CLBLL_R CLBLL_R_X11Y69 SLICEL SLICE[0,0] SLICE_X14Y69 SLICEL SLICE[1,0] SLICE_X15Y69 |
CLBLM_L CLBLM_L_X12Y69 SLICEM SLICE[0,0] SLICE_X16Y69 SLICEL SLICE[1,0] SLICE_X17Y69 |
INT_L INT_L_X12Y69 TIEOFF TIEOFF[0,0] TIEOFF_X13Y69 |
INT_R INT_R_X13Y69 TIEOFF TIEOFF[0,0] TIEOFF_X14Y69 |
CLBLL_R CLBLL_R_X13Y69 SLICEL SLICE[0,0] SLICE_X18Y69 SLICEL SLICE[1,0] SLICE_X19Y69 |
VBRK VBRK_X77Y72 |
CLBLM_L CLBLM_L_X14Y69 SLICEM SLICE[0,0] SLICE_X20Y69 SLICEL SLICE[1,0] SLICE_X21Y69 |
INT_L INT_L_X14Y69 TIEOFF TIEOFF[0,0] TIEOFF_X15Y69 |
INT_R INT_R_X15Y69 TIEOFF TIEOFF[0,0] TIEOFF_X16Y69 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y69 |
CLK_FEED CLK_FEED_X82Y72 |
VBRK VBRK_X83Y72 |
CLBLL_L CLBLL_L_X16Y69 SLICEL SLICE[0,0] SLICE_X22Y69 SLICEL SLICE[1,0] SLICE_X23Y69 |
INT_L INT_L_X16Y69 TIEOFF TIEOFF[0,0] TIEOFF_X17Y69 |
INT_R INT_R_X17Y69 TIEOFF TIEOFF[0,0] TIEOFF_X18Y69 |
CLBLM_R CLBLM_R_X17Y69 SLICEM SLICE[0,0] SLICE_X24Y69 SLICEL SLICE[1,0] SLICE_X25Y69 |
VBRK VBRK_X88Y72 |
BRAM_L BRAM_L_X18Y65 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y13 FIFO18E1 RAMB18[0,0] RAMB18_X1Y26 RAMB18E1 RAMB18[0,1] RAMB18_X1Y27 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y69 |
INT_L INT_L_X18Y69 TIEOFF TIEOFF[0,0] TIEOFF_X19Y69 |
INT_R INT_R_X19Y69 TIEOFF TIEOFF[0,0] TIEOFF_X20Y69 |
CLBLL_R CLBLL_R_X19Y69 SLICEL SLICE[0,0] SLICE_X26Y69 SLICEL SLICE[1,0] SLICE_X27Y69 |
CLBLM_L CLBLM_L_X20Y69 SLICEM SLICE[0,0] SLICE_X28Y69 SLICEL SLICE[1,0] SLICE_X29Y69 |
INT_L INT_L_X20Y69 TIEOFF TIEOFF[0,0] TIEOFF_X21Y69 |
INT_R INT_R_X21Y69 TIEOFF TIEOFF[0,0] TIEOFF_X22Y69 |
CLBLM_R CLBLM_R_X21Y69 SLICEM SLICE[0,0] SLICE_X30Y69 SLICEL SLICE[1,0] SLICE_X31Y69 |
VBRK VBRK_X98Y72 |
DSP_L DSP_L_X22Y65 TIEOFF TIEOFF[0,0] TIEOFF_X23Y65 DSP48E1 DSP48[0,0] DSP48_X1Y26 DSP48E1 DSP48[0,1] DSP48_X1Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y69 |
INT_L INT_L_X22Y69 TIEOFF TIEOFF[0,0] TIEOFF_X24Y69 |
INT_R INT_R_X23Y69 TIEOFF TIEOFF[0,0] TIEOFF_X25Y69 |
CLBLM_R CLBLM_R_X23Y69 SLICEM SLICE[0,0] SLICE_X32Y69 SLICEL SLICE[1,0] SLICE_X33Y69 |
CLBLM_L CLBLM_L_X24Y69 SLICEM SLICE[0,0] SLICE_X34Y69 SLICEL SLICE[1,0] SLICE_X35Y69 |
INT_L INT_L_X24Y69 TIEOFF TIEOFF[0,0] TIEOFF_X26Y69 |
INT_R INT_R_X25Y69 TIEOFF TIEOFF[0,0] TIEOFF_X27Y69 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y69 |
BRAM_R BRAM_R_X25Y65 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y13 FIFO18E1 RAMB18[0,0] RAMB18_X2Y26 RAMB18E1 RAMB18[0,1] RAMB18_X2Y27 |
VBRK VBRK_X109Y72 |
CLBLL_L CLBLL_L_X26Y69 SLICEL SLICE[0,0] SLICE_X36Y69 SLICEL SLICE[1,0] SLICE_X37Y69 |
INT_L INT_L_X26Y69 TIEOFF TIEOFF[0,0] TIEOFF_X28Y69 |
INT_R INT_R_X27Y69 TIEOFF TIEOFF[0,0] TIEOFF_X29Y69 |
CLBLM_R CLBLM_R_X27Y69 SLICEM SLICE[0,0] SLICE_X38Y69 SLICEL SLICE[1,0] SLICE_X39Y69 |
CLBLL_L CLBLL_L_X28Y69 SLICEL SLICE[0,0] SLICE_X40Y69 SLICEL SLICE[1,0] SLICE_X41Y69 |
INT_L INT_L_X28Y69 TIEOFF TIEOFF[0,0] TIEOFF_X30Y69 |
INT_R INT_R_X29Y69 TIEOFF TIEOFF[0,0] TIEOFF_X31Y69 |
CLBLM_R CLBLM_R_X29Y69 SLICEM SLICE[0,0] SLICE_X42Y69 SLICEL SLICE[1,0] SLICE_X43Y69 |
VBRK VBRK_X118Y72 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y69 |
INT_L INT_L_X30Y69 TIEOFF TIEOFF[0,0] TIEOFF_X32Y69 |
INT_R INT_R_X31Y69 TIEOFF TIEOFF[0,0] TIEOFF_X33Y69 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y69 |
R_TERM_INT R_TERM_INT_X125Y72 |
||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y68 |
INT_L INT_L_X0Y68 TIEOFF TIEOFF[0,0] TIEOFF_X0Y68 |
INT_R INT_R_X1Y68 TIEOFF TIEOFF[0,0] TIEOFF_X1Y68 |
CLBLM_R CLBLM_R_X1Y68 SLICEM SLICE[0,0] SLICE_X0Y68 SLICEL SLICE[1,0] SLICE_X1Y68 |
CLBLL_L CLBLL_L_X2Y68 SLICEL SLICE[0,0] SLICE_X2Y68 SLICEL SLICE[1,0] SLICE_X3Y68 |
INT_L INT_L_X2Y68 TIEOFF TIEOFF[0,0] TIEOFF_X2Y68 |
INT_R INT_R_X3Y68 TIEOFF TIEOFF[0,0] TIEOFF_X3Y68 |
CLBLM_R CLBLM_R_X3Y68 SLICEM SLICE[0,0] SLICE_X4Y68 SLICEL SLICE[1,0] SLICE_X5Y68 |
VBRK VBRK_X39Y71 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y68 |
INT_L INT_L_X4Y68 TIEOFF TIEOFF[0,0] TIEOFF_X4Y68 |
INT_R INT_R_X5Y68 TIEOFF TIEOFF[0,0] TIEOFF_X5Y68 |
CLBLM_R CLBLM_R_X5Y68 SLICEM SLICE[0,0] SLICE_X6Y68 SLICEL SLICE[1,0] SLICE_X7Y68 |
CLBLM_L CLBLM_L_X6Y68 SLICEM SLICE[0,0] SLICE_X8Y68 SLICEL SLICE[1,0] SLICE_X9Y68 |
INT_L INT_L_X6Y68 TIEOFF TIEOFF[0,0] TIEOFF_X6Y68 |
INT_R INT_R_X7Y68 TIEOFF TIEOFF[0,0] TIEOFF_X7Y68 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y68 |
VBRK VBRK_X50Y71 |
CLBLM_L CLBLM_L_X8Y68 SLICEM SLICE[0,0] SLICE_X10Y68 SLICEL SLICE[1,0] SLICE_X11Y68 |
INT_L INT_L_X8Y68 TIEOFF TIEOFF[0,0] TIEOFF_X9Y68 |
INT_R INT_R_X9Y68 TIEOFF TIEOFF[0,0] TIEOFF_X10Y68 |
CLBLM_R CLBLM_R_X9Y68 SLICEM SLICE[0,0] SLICE_X12Y68 SLICEL SLICE[1,0] SLICE_X13Y68 |
VBRK VBRK_X55Y71 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y71 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y71 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y71 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y71 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y71 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y71 |
VFRAME VFRAME_X68Y71 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y68 |
INT_L INT_L_X10Y68 TIEOFF TIEOFF[0,0] TIEOFF_X11Y68 |
INT_R INT_R_X11Y68 TIEOFF TIEOFF[0,0] TIEOFF_X12Y68 |
CLBLL_R CLBLL_R_X11Y68 SLICEL SLICE[0,0] SLICE_X14Y68 SLICEL SLICE[1,0] SLICE_X15Y68 |
CLBLM_L CLBLM_L_X12Y68 SLICEM SLICE[0,0] SLICE_X16Y68 SLICEL SLICE[1,0] SLICE_X17Y68 |
INT_L INT_L_X12Y68 TIEOFF TIEOFF[0,0] TIEOFF_X13Y68 |
INT_R INT_R_X13Y68 TIEOFF TIEOFF[0,0] TIEOFF_X14Y68 |
CLBLL_R CLBLL_R_X13Y68 SLICEL SLICE[0,0] SLICE_X18Y68 SLICEL SLICE[1,0] SLICE_X19Y68 |
VBRK VBRK_X77Y71 |
CLBLM_L CLBLM_L_X14Y68 SLICEM SLICE[0,0] SLICE_X20Y68 SLICEL SLICE[1,0] SLICE_X21Y68 |
INT_L INT_L_X14Y68 TIEOFF TIEOFF[0,0] TIEOFF_X15Y68 |
INT_R INT_R_X15Y68 TIEOFF TIEOFF[0,0] TIEOFF_X16Y68 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y68 |
CLK_FEED CLK_FEED_X82Y71 |
VBRK VBRK_X83Y71 |
CLBLL_L CLBLL_L_X16Y68 SLICEL SLICE[0,0] SLICE_X22Y68 SLICEL SLICE[1,0] SLICE_X23Y68 |
INT_L INT_L_X16Y68 TIEOFF TIEOFF[0,0] TIEOFF_X17Y68 |
INT_R INT_R_X17Y68 TIEOFF TIEOFF[0,0] TIEOFF_X18Y68 |
CLBLM_R CLBLM_R_X17Y68 SLICEM SLICE[0,0] SLICE_X24Y68 SLICEL SLICE[1,0] SLICE_X25Y68 |
VBRK VBRK_X88Y71 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y68 |
INT_L INT_L_X18Y68 TIEOFF TIEOFF[0,0] TIEOFF_X19Y68 |
INT_R INT_R_X19Y68 TIEOFF TIEOFF[0,0] TIEOFF_X20Y68 |
CLBLL_R CLBLL_R_X19Y68 SLICEL SLICE[0,0] SLICE_X26Y68 SLICEL SLICE[1,0] SLICE_X27Y68 |
CLBLM_L CLBLM_L_X20Y68 SLICEM SLICE[0,0] SLICE_X28Y68 SLICEL SLICE[1,0] SLICE_X29Y68 |
INT_L INT_L_X20Y68 TIEOFF TIEOFF[0,0] TIEOFF_X21Y68 |
INT_R INT_R_X21Y68 TIEOFF TIEOFF[0,0] TIEOFF_X22Y68 |
CLBLM_R CLBLM_R_X21Y68 SLICEM SLICE[0,0] SLICE_X30Y68 SLICEL SLICE[1,0] SLICE_X31Y68 |
VBRK VBRK_X98Y71 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y68 |
INT_L INT_L_X22Y68 TIEOFF TIEOFF[0,0] TIEOFF_X24Y68 |
INT_R INT_R_X23Y68 TIEOFF TIEOFF[0,0] TIEOFF_X25Y68 |
CLBLM_R CLBLM_R_X23Y68 SLICEM SLICE[0,0] SLICE_X32Y68 SLICEL SLICE[1,0] SLICE_X33Y68 |
CLBLM_L CLBLM_L_X24Y68 SLICEM SLICE[0,0] SLICE_X34Y68 SLICEL SLICE[1,0] SLICE_X35Y68 |
INT_L INT_L_X24Y68 TIEOFF TIEOFF[0,0] TIEOFF_X26Y68 |
INT_R INT_R_X25Y68 TIEOFF TIEOFF[0,0] TIEOFF_X27Y68 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y68 |
VBRK VBRK_X109Y71 |
CLBLL_L CLBLL_L_X26Y68 SLICEL SLICE[0,0] SLICE_X36Y68 SLICEL SLICE[1,0] SLICE_X37Y68 |
INT_L INT_L_X26Y68 TIEOFF TIEOFF[0,0] TIEOFF_X28Y68 |
INT_R INT_R_X27Y68 TIEOFF TIEOFF[0,0] TIEOFF_X29Y68 |
CLBLM_R CLBLM_R_X27Y68 SLICEM SLICE[0,0] SLICE_X38Y68 SLICEL SLICE[1,0] SLICE_X39Y68 |
CLBLL_L CLBLL_L_X28Y68 SLICEL SLICE[0,0] SLICE_X40Y68 SLICEL SLICE[1,0] SLICE_X41Y68 |
INT_L INT_L_X28Y68 TIEOFF TIEOFF[0,0] TIEOFF_X30Y68 |
INT_R INT_R_X29Y68 TIEOFF TIEOFF[0,0] TIEOFF_X31Y68 |
CLBLM_R CLBLM_R_X29Y68 SLICEM SLICE[0,0] SLICE_X42Y68 SLICEL SLICE[1,0] SLICE_X43Y68 |
VBRK VBRK_X118Y71 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y68 |
INT_L INT_L_X30Y68 TIEOFF TIEOFF[0,0] TIEOFF_X32Y68 |
INT_R INT_R_X31Y68 TIEOFF TIEOFF[0,0] TIEOFF_X33Y68 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y68 |
R_TERM_INT R_TERM_INT_X125Y71 |
RIOI3 RIOI3_X31Y67 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y67 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y68 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y67 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y68 IDELAYE2 IDELAY[0,0] IDELAY_X0Y67 IDELAYE2 IDELAY[0,1] IDELAY_X0Y68 |
RIOB33 RIOB33_X31Y67 IOB33S IOB[0,0] IOB_X0Y67 IOB33M IOB[0,1] IOB_X0Y68 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y67 |
INT_L INT_L_X0Y67 TIEOFF TIEOFF[0,0] TIEOFF_X0Y67 |
INT_R INT_R_X1Y67 TIEOFF TIEOFF[0,0] TIEOFF_X1Y67 |
CLBLM_R CLBLM_R_X1Y67 SLICEM SLICE[0,0] SLICE_X0Y67 SLICEL SLICE[1,0] SLICE_X1Y67 |
CLBLL_L CLBLL_L_X2Y67 SLICEL SLICE[0,0] SLICE_X2Y67 SLICEL SLICE[1,0] SLICE_X3Y67 |
INT_L INT_L_X2Y67 TIEOFF TIEOFF[0,0] TIEOFF_X2Y67 |
INT_R INT_R_X3Y67 TIEOFF TIEOFF[0,0] TIEOFF_X3Y67 |
CLBLM_R CLBLM_R_X3Y67 SLICEM SLICE[0,0] SLICE_X4Y67 SLICEL SLICE[1,0] SLICE_X5Y67 |
VBRK VBRK_X39Y70 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y67 |
INT_L INT_L_X4Y67 TIEOFF TIEOFF[0,0] TIEOFF_X4Y67 |
INT_R INT_R_X5Y67 TIEOFF TIEOFF[0,0] TIEOFF_X5Y67 |
CLBLM_R CLBLM_R_X5Y67 SLICEM SLICE[0,0] SLICE_X6Y67 SLICEL SLICE[1,0] SLICE_X7Y67 |
CLBLM_L CLBLM_L_X6Y67 SLICEM SLICE[0,0] SLICE_X8Y67 SLICEL SLICE[1,0] SLICE_X9Y67 |
INT_L INT_L_X6Y67 TIEOFF TIEOFF[0,0] TIEOFF_X6Y67 |
INT_R INT_R_X7Y67 TIEOFF TIEOFF[0,0] TIEOFF_X7Y67 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y67 |
VBRK VBRK_X50Y70 |
CLBLM_L CLBLM_L_X8Y67 SLICEM SLICE[0,0] SLICE_X10Y67 SLICEL SLICE[1,0] SLICE_X11Y67 |
INT_L INT_L_X8Y67 TIEOFF TIEOFF[0,0] TIEOFF_X9Y67 |
INT_R INT_R_X9Y67 TIEOFF TIEOFF[0,0] TIEOFF_X10Y67 |
CLBLM_R CLBLM_R_X9Y67 SLICEM SLICE[0,0] SLICE_X12Y67 SLICEL SLICE[1,0] SLICE_X13Y67 |
VBRK VBRK_X55Y70 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y70 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y70 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y70 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y70 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y70 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y70 |
VFRAME VFRAME_X68Y70 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y67 |
INT_L INT_L_X10Y67 TIEOFF TIEOFF[0,0] TIEOFF_X11Y67 |
INT_R INT_R_X11Y67 TIEOFF TIEOFF[0,0] TIEOFF_X12Y67 |
CLBLL_R CLBLL_R_X11Y67 SLICEL SLICE[0,0] SLICE_X14Y67 SLICEL SLICE[1,0] SLICE_X15Y67 |
CLBLM_L CLBLM_L_X12Y67 SLICEM SLICE[0,0] SLICE_X16Y67 SLICEL SLICE[1,0] SLICE_X17Y67 |
INT_L INT_L_X12Y67 TIEOFF TIEOFF[0,0] TIEOFF_X13Y67 |
INT_R INT_R_X13Y67 TIEOFF TIEOFF[0,0] TIEOFF_X14Y67 |
CLBLL_R CLBLL_R_X13Y67 SLICEL SLICE[0,0] SLICE_X18Y67 SLICEL SLICE[1,0] SLICE_X19Y67 |
VBRK VBRK_X77Y70 |
CLBLM_L CLBLM_L_X14Y67 SLICEM SLICE[0,0] SLICE_X20Y67 SLICEL SLICE[1,0] SLICE_X21Y67 |
INT_L INT_L_X14Y67 TIEOFF TIEOFF[0,0] TIEOFF_X15Y67 |
INT_R INT_R_X15Y67 TIEOFF TIEOFF[0,0] TIEOFF_X16Y67 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y67 |
CLK_FEED CLK_FEED_X82Y70 |
VBRK VBRK_X83Y70 |
CLBLL_L CLBLL_L_X16Y67 SLICEL SLICE[0,0] SLICE_X22Y67 SLICEL SLICE[1,0] SLICE_X23Y67 |
INT_L INT_L_X16Y67 TIEOFF TIEOFF[0,0] TIEOFF_X17Y67 |
INT_R INT_R_X17Y67 TIEOFF TIEOFF[0,0] TIEOFF_X18Y67 |
CLBLM_R CLBLM_R_X17Y67 SLICEM SLICE[0,0] SLICE_X24Y67 SLICEL SLICE[1,0] SLICE_X25Y67 |
VBRK VBRK_X88Y70 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y67 |
INT_L INT_L_X18Y67 TIEOFF TIEOFF[0,0] TIEOFF_X19Y67 |
INT_R INT_R_X19Y67 TIEOFF TIEOFF[0,0] TIEOFF_X20Y67 |
CLBLL_R CLBLL_R_X19Y67 SLICEL SLICE[0,0] SLICE_X26Y67 SLICEL SLICE[1,0] SLICE_X27Y67 |
CLBLM_L CLBLM_L_X20Y67 SLICEM SLICE[0,0] SLICE_X28Y67 SLICEL SLICE[1,0] SLICE_X29Y67 |
INT_L INT_L_X20Y67 TIEOFF TIEOFF[0,0] TIEOFF_X21Y67 |
INT_R INT_R_X21Y67 TIEOFF TIEOFF[0,0] TIEOFF_X22Y67 |
CLBLM_R CLBLM_R_X21Y67 SLICEM SLICE[0,0] SLICE_X30Y67 SLICEL SLICE[1,0] SLICE_X31Y67 |
VBRK VBRK_X98Y70 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y67 |
INT_L INT_L_X22Y67 TIEOFF TIEOFF[0,0] TIEOFF_X24Y67 |
INT_R INT_R_X23Y67 TIEOFF TIEOFF[0,0] TIEOFF_X25Y67 |
CLBLM_R CLBLM_R_X23Y67 SLICEM SLICE[0,0] SLICE_X32Y67 SLICEL SLICE[1,0] SLICE_X33Y67 |
CLBLM_L CLBLM_L_X24Y67 SLICEM SLICE[0,0] SLICE_X34Y67 SLICEL SLICE[1,0] SLICE_X35Y67 |
INT_L INT_L_X24Y67 TIEOFF TIEOFF[0,0] TIEOFF_X26Y67 |
INT_R INT_R_X25Y67 TIEOFF TIEOFF[0,0] TIEOFF_X27Y67 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y67 |
VBRK VBRK_X109Y70 |
CLBLL_L CLBLL_L_X26Y67 SLICEL SLICE[0,0] SLICE_X36Y67 SLICEL SLICE[1,0] SLICE_X37Y67 |
INT_L INT_L_X26Y67 TIEOFF TIEOFF[0,0] TIEOFF_X28Y67 |
INT_R INT_R_X27Y67 TIEOFF TIEOFF[0,0] TIEOFF_X29Y67 |
CLBLM_R CLBLM_R_X27Y67 SLICEM SLICE[0,0] SLICE_X38Y67 SLICEL SLICE[1,0] SLICE_X39Y67 |
CLBLL_L CLBLL_L_X28Y67 SLICEL SLICE[0,0] SLICE_X40Y67 SLICEL SLICE[1,0] SLICE_X41Y67 |
INT_L INT_L_X28Y67 TIEOFF TIEOFF[0,0] TIEOFF_X30Y67 |
INT_R INT_R_X29Y67 TIEOFF TIEOFF[0,0] TIEOFF_X31Y67 |
CLBLM_R CLBLM_R_X29Y67 SLICEM SLICE[0,0] SLICE_X42Y67 SLICEL SLICE[1,0] SLICE_X43Y67 |
VBRK VBRK_X118Y70 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y67 |
INT_L INT_L_X30Y67 TIEOFF TIEOFF[0,0] TIEOFF_X32Y67 |
INT_R INT_R_X31Y67 TIEOFF TIEOFF[0,0] TIEOFF_X33Y67 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y67 |
R_TERM_INT R_TERM_INT_X125Y70 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y66 |
INT_L INT_L_X0Y66 TIEOFF TIEOFF[0,0] TIEOFF_X0Y66 |
INT_R INT_R_X1Y66 TIEOFF TIEOFF[0,0] TIEOFF_X1Y66 |
CLBLM_R CLBLM_R_X1Y66 SLICEM SLICE[0,0] SLICE_X0Y66 SLICEL SLICE[1,0] SLICE_X1Y66 |
CLBLL_L CLBLL_L_X2Y66 SLICEL SLICE[0,0] SLICE_X2Y66 SLICEL SLICE[1,0] SLICE_X3Y66 |
INT_L INT_L_X2Y66 TIEOFF TIEOFF[0,0] TIEOFF_X2Y66 |
INT_R INT_R_X3Y66 TIEOFF TIEOFF[0,0] TIEOFF_X3Y66 |
CLBLM_R CLBLM_R_X3Y66 SLICEM SLICE[0,0] SLICE_X4Y66 SLICEL SLICE[1,0] SLICE_X5Y66 |
VBRK VBRK_X39Y69 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y66 |
INT_L INT_L_X4Y66 TIEOFF TIEOFF[0,0] TIEOFF_X4Y66 |
INT_R INT_R_X5Y66 TIEOFF TIEOFF[0,0] TIEOFF_X5Y66 |
CLBLM_R CLBLM_R_X5Y66 SLICEM SLICE[0,0] SLICE_X6Y66 SLICEL SLICE[1,0] SLICE_X7Y66 |
CLBLM_L CLBLM_L_X6Y66 SLICEM SLICE[0,0] SLICE_X8Y66 SLICEL SLICE[1,0] SLICE_X9Y66 |
INT_L INT_L_X6Y66 TIEOFF TIEOFF[0,0] TIEOFF_X6Y66 |
INT_R INT_R_X7Y66 TIEOFF TIEOFF[0,0] TIEOFF_X7Y66 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y66 |
VBRK VBRK_X50Y69 |
CLBLM_L CLBLM_L_X8Y66 SLICEM SLICE[0,0] SLICE_X10Y66 SLICEL SLICE[1,0] SLICE_X11Y66 |
INT_L INT_L_X8Y66 TIEOFF TIEOFF[0,0] TIEOFF_X9Y66 |
INT_R INT_R_X9Y66 TIEOFF TIEOFF[0,0] TIEOFF_X10Y66 |
CLBLM_R CLBLM_R_X9Y66 SLICEM SLICE[0,0] SLICE_X12Y66 SLICEL SLICE[1,0] SLICE_X13Y66 |
VBRK VBRK_X55Y69 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y69 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y69 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y69 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y69 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y69 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y69 |
VFRAME VFRAME_X68Y69 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y66 |
INT_L INT_L_X10Y66 TIEOFF TIEOFF[0,0] TIEOFF_X11Y66 |
INT_R INT_R_X11Y66 TIEOFF TIEOFF[0,0] TIEOFF_X12Y66 |
CLBLL_R CLBLL_R_X11Y66 SLICEL SLICE[0,0] SLICE_X14Y66 SLICEL SLICE[1,0] SLICE_X15Y66 |
CLBLM_L CLBLM_L_X12Y66 SLICEM SLICE[0,0] SLICE_X16Y66 SLICEL SLICE[1,0] SLICE_X17Y66 |
INT_L INT_L_X12Y66 TIEOFF TIEOFF[0,0] TIEOFF_X13Y66 |
INT_R INT_R_X13Y66 TIEOFF TIEOFF[0,0] TIEOFF_X14Y66 |
CLBLL_R CLBLL_R_X13Y66 SLICEL SLICE[0,0] SLICE_X18Y66 SLICEL SLICE[1,0] SLICE_X19Y66 |
VBRK VBRK_X77Y69 |
CLBLM_L CLBLM_L_X14Y66 SLICEM SLICE[0,0] SLICE_X20Y66 SLICEL SLICE[1,0] SLICE_X21Y66 |
INT_L INT_L_X14Y66 TIEOFF TIEOFF[0,0] TIEOFF_X15Y66 |
INT_R INT_R_X15Y66 TIEOFF TIEOFF[0,0] TIEOFF_X16Y66 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y66 |
CLK_FEED CLK_FEED_X82Y69 |
VBRK VBRK_X83Y69 |
CLBLL_L CLBLL_L_X16Y66 SLICEL SLICE[0,0] SLICE_X22Y66 SLICEL SLICE[1,0] SLICE_X23Y66 |
INT_L INT_L_X16Y66 TIEOFF TIEOFF[0,0] TIEOFF_X17Y66 |
INT_R INT_R_X17Y66 TIEOFF TIEOFF[0,0] TIEOFF_X18Y66 |
CLBLM_R CLBLM_R_X17Y66 SLICEM SLICE[0,0] SLICE_X24Y66 SLICEL SLICE[1,0] SLICE_X25Y66 |
VBRK VBRK_X88Y69 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y66 |
INT_L INT_L_X18Y66 TIEOFF TIEOFF[0,0] TIEOFF_X19Y66 |
INT_R INT_R_X19Y66 TIEOFF TIEOFF[0,0] TIEOFF_X20Y66 |
CLBLL_R CLBLL_R_X19Y66 SLICEL SLICE[0,0] SLICE_X26Y66 SLICEL SLICE[1,0] SLICE_X27Y66 |
CLBLM_L CLBLM_L_X20Y66 SLICEM SLICE[0,0] SLICE_X28Y66 SLICEL SLICE[1,0] SLICE_X29Y66 |
INT_L INT_L_X20Y66 TIEOFF TIEOFF[0,0] TIEOFF_X21Y66 |
INT_R INT_R_X21Y66 TIEOFF TIEOFF[0,0] TIEOFF_X22Y66 |
CLBLM_R CLBLM_R_X21Y66 SLICEM SLICE[0,0] SLICE_X30Y66 SLICEL SLICE[1,0] SLICE_X31Y66 |
VBRK VBRK_X98Y69 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y66 |
INT_L INT_L_X22Y66 TIEOFF TIEOFF[0,0] TIEOFF_X24Y66 |
INT_R INT_R_X23Y66 TIEOFF TIEOFF[0,0] TIEOFF_X25Y66 |
CLBLM_R CLBLM_R_X23Y66 SLICEM SLICE[0,0] SLICE_X32Y66 SLICEL SLICE[1,0] SLICE_X33Y66 |
CLBLM_L CLBLM_L_X24Y66 SLICEM SLICE[0,0] SLICE_X34Y66 SLICEL SLICE[1,0] SLICE_X35Y66 |
INT_L INT_L_X24Y66 TIEOFF TIEOFF[0,0] TIEOFF_X26Y66 |
INT_R INT_R_X25Y66 TIEOFF TIEOFF[0,0] TIEOFF_X27Y66 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y66 |
VBRK VBRK_X109Y69 |
CLBLL_L CLBLL_L_X26Y66 SLICEL SLICE[0,0] SLICE_X36Y66 SLICEL SLICE[1,0] SLICE_X37Y66 |
INT_L INT_L_X26Y66 TIEOFF TIEOFF[0,0] TIEOFF_X28Y66 |
INT_R INT_R_X27Y66 TIEOFF TIEOFF[0,0] TIEOFF_X29Y66 |
CLBLM_R CLBLM_R_X27Y66 SLICEM SLICE[0,0] SLICE_X38Y66 SLICEL SLICE[1,0] SLICE_X39Y66 |
CLBLL_L CLBLL_L_X28Y66 SLICEL SLICE[0,0] SLICE_X40Y66 SLICEL SLICE[1,0] SLICE_X41Y66 |
INT_L INT_L_X28Y66 TIEOFF TIEOFF[0,0] TIEOFF_X30Y66 |
INT_R INT_R_X29Y66 TIEOFF TIEOFF[0,0] TIEOFF_X31Y66 |
CLBLM_R CLBLM_R_X29Y66 SLICEM SLICE[0,0] SLICE_X42Y66 SLICEL SLICE[1,0] SLICE_X43Y66 |
VBRK VBRK_X118Y69 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y66 |
INT_L INT_L_X30Y66 TIEOFF TIEOFF[0,0] TIEOFF_X32Y66 |
INT_R INT_R_X31Y66 TIEOFF TIEOFF[0,0] TIEOFF_X33Y66 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y66 |
R_TERM_INT R_TERM_INT_X125Y69 |
RIOI3 RIOI3_X31Y65 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y65 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y66 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y65 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y66 IDELAYE2 IDELAY[0,0] IDELAY_X0Y65 IDELAYE2 IDELAY[0,1] IDELAY_X0Y66 |
RIOB33 RIOB33_X31Y65 IOB33S IOB[0,0] IOB_X0Y65 IOB33M IOB[0,1] IOB_X0Y66 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y65 |
INT_L INT_L_X0Y65 TIEOFF TIEOFF[0,0] TIEOFF_X0Y65 |
INT_R INT_R_X1Y65 TIEOFF TIEOFF[0,0] TIEOFF_X1Y65 |
CLBLM_R CLBLM_R_X1Y65 SLICEM SLICE[0,0] SLICE_X0Y65 SLICEL SLICE[1,0] SLICE_X1Y65 |
CLBLL_L CLBLL_L_X2Y65 SLICEL SLICE[0,0] SLICE_X2Y65 SLICEL SLICE[1,0] SLICE_X3Y65 |
INT_L INT_L_X2Y65 TIEOFF TIEOFF[0,0] TIEOFF_X2Y65 |
INT_R INT_R_X3Y65 TIEOFF TIEOFF[0,0] TIEOFF_X3Y65 |
CLBLM_R CLBLM_R_X3Y65 SLICEM SLICE[0,0] SLICE_X4Y65 SLICEL SLICE[1,0] SLICE_X5Y65 |
VBRK VBRK_X39Y68 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y65 |
INT_L INT_L_X4Y65 TIEOFF TIEOFF[0,0] TIEOFF_X4Y65 |
INT_R INT_R_X5Y65 TIEOFF TIEOFF[0,0] TIEOFF_X5Y65 |
CLBLM_R CLBLM_R_X5Y65 SLICEM SLICE[0,0] SLICE_X6Y65 SLICEL SLICE[1,0] SLICE_X7Y65 |
CLBLM_L CLBLM_L_X6Y65 SLICEM SLICE[0,0] SLICE_X8Y65 SLICEL SLICE[1,0] SLICE_X9Y65 |
INT_L INT_L_X6Y65 TIEOFF TIEOFF[0,0] TIEOFF_X6Y65 |
INT_R INT_R_X7Y65 TIEOFF TIEOFF[0,0] TIEOFF_X7Y65 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y65 |
VBRK VBRK_X50Y68 |
CLBLM_L CLBLM_L_X8Y65 SLICEM SLICE[0,0] SLICE_X10Y65 SLICEL SLICE[1,0] SLICE_X11Y65 |
INT_L INT_L_X8Y65 TIEOFF TIEOFF[0,0] TIEOFF_X9Y65 |
INT_R INT_R_X9Y65 TIEOFF TIEOFF[0,0] TIEOFF_X10Y65 |
CLBLM_R CLBLM_R_X9Y65 SLICEM SLICE[0,0] SLICE_X12Y65 SLICEL SLICE[1,0] SLICE_X13Y65 |
VBRK VBRK_X55Y68 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y68 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y68 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y68 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y68 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y68 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y68 |
VFRAME VFRAME_X68Y68 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y65 |
INT_L INT_L_X10Y65 TIEOFF TIEOFF[0,0] TIEOFF_X11Y65 |
INT_R INT_R_X11Y65 TIEOFF TIEOFF[0,0] TIEOFF_X12Y65 |
CLBLL_R CLBLL_R_X11Y65 SLICEL SLICE[0,0] SLICE_X14Y65 SLICEL SLICE[1,0] SLICE_X15Y65 |
CLBLM_L CLBLM_L_X12Y65 SLICEM SLICE[0,0] SLICE_X16Y65 SLICEL SLICE[1,0] SLICE_X17Y65 |
INT_L INT_L_X12Y65 TIEOFF TIEOFF[0,0] TIEOFF_X13Y65 |
INT_R INT_R_X13Y65 TIEOFF TIEOFF[0,0] TIEOFF_X14Y65 |
CLBLL_R CLBLL_R_X13Y65 SLICEL SLICE[0,0] SLICE_X18Y65 SLICEL SLICE[1,0] SLICE_X19Y65 |
VBRK VBRK_X77Y68 |
CLBLM_L CLBLM_L_X14Y65 SLICEM SLICE[0,0] SLICE_X20Y65 SLICEL SLICE[1,0] SLICE_X21Y65 |
INT_L INT_L_X14Y65 TIEOFF TIEOFF[0,0] TIEOFF_X15Y65 |
INT_R INT_R_X15Y65 TIEOFF TIEOFF[0,0] TIEOFF_X16Y65 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y65 |
CLK_FEED CLK_FEED_X82Y68 |
VBRK VBRK_X83Y68 |
CLBLL_L CLBLL_L_X16Y65 SLICEL SLICE[0,0] SLICE_X22Y65 SLICEL SLICE[1,0] SLICE_X23Y65 |
INT_L INT_L_X16Y65 TIEOFF TIEOFF[0,0] TIEOFF_X17Y65 |
INT_R INT_R_X17Y65 TIEOFF TIEOFF[0,0] TIEOFF_X18Y65 |
CLBLM_R CLBLM_R_X17Y65 SLICEM SLICE[0,0] SLICE_X24Y65 SLICEL SLICE[1,0] SLICE_X25Y65 |
VBRK VBRK_X88Y68 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y65 |
INT_L INT_L_X18Y65 TIEOFF TIEOFF[0,0] TIEOFF_X19Y65 |
INT_R INT_R_X19Y65 TIEOFF TIEOFF[0,0] TIEOFF_X20Y65 |
CLBLL_R CLBLL_R_X19Y65 SLICEL SLICE[0,0] SLICE_X26Y65 SLICEL SLICE[1,0] SLICE_X27Y65 |
CLBLM_L CLBLM_L_X20Y65 SLICEM SLICE[0,0] SLICE_X28Y65 SLICEL SLICE[1,0] SLICE_X29Y65 |
INT_L INT_L_X20Y65 TIEOFF TIEOFF[0,0] TIEOFF_X21Y65 |
INT_R INT_R_X21Y65 TIEOFF TIEOFF[0,0] TIEOFF_X22Y65 |
CLBLM_R CLBLM_R_X21Y65 SLICEM SLICE[0,0] SLICE_X30Y65 SLICEL SLICE[1,0] SLICE_X31Y65 |
VBRK VBRK_X98Y68 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y65 |
INT_L INT_L_X22Y65 TIEOFF TIEOFF[0,0] TIEOFF_X24Y65 |
INT_R INT_R_X23Y65 TIEOFF TIEOFF[0,0] TIEOFF_X25Y65 |
CLBLM_R CLBLM_R_X23Y65 SLICEM SLICE[0,0] SLICE_X32Y65 SLICEL SLICE[1,0] SLICE_X33Y65 |
CLBLM_L CLBLM_L_X24Y65 SLICEM SLICE[0,0] SLICE_X34Y65 SLICEL SLICE[1,0] SLICE_X35Y65 |
INT_L INT_L_X24Y65 TIEOFF TIEOFF[0,0] TIEOFF_X26Y65 |
INT_R INT_R_X25Y65 TIEOFF TIEOFF[0,0] TIEOFF_X27Y65 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y65 |
VBRK VBRK_X109Y68 |
CLBLL_L CLBLL_L_X26Y65 SLICEL SLICE[0,0] SLICE_X36Y65 SLICEL SLICE[1,0] SLICE_X37Y65 |
INT_L INT_L_X26Y65 TIEOFF TIEOFF[0,0] TIEOFF_X28Y65 |
INT_R INT_R_X27Y65 TIEOFF TIEOFF[0,0] TIEOFF_X29Y65 |
CLBLM_R CLBLM_R_X27Y65 SLICEM SLICE[0,0] SLICE_X38Y65 SLICEL SLICE[1,0] SLICE_X39Y65 |
CLBLL_L CLBLL_L_X28Y65 SLICEL SLICE[0,0] SLICE_X40Y65 SLICEL SLICE[1,0] SLICE_X41Y65 |
INT_L INT_L_X28Y65 TIEOFF TIEOFF[0,0] TIEOFF_X30Y65 |
INT_R INT_R_X29Y65 TIEOFF TIEOFF[0,0] TIEOFF_X31Y65 |
CLBLM_R CLBLM_R_X29Y65 SLICEM SLICE[0,0] SLICE_X42Y65 SLICEL SLICE[1,0] SLICE_X43Y65 |
VBRK VBRK_X118Y68 |
CMT_TOP_L_LOWER_B CMT_TOP_L_LOWER_B_X119Y61 MMCME2_ADV MMCME2_ADV[0,0] MMCME2_ADV_X0Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y65 |
INT_L INT_L_X30Y65 TIEOFF TIEOFF[0,0] TIEOFF_X32Y65 |
INT_R INT_R_X31Y65 TIEOFF TIEOFF[0,0] TIEOFF_X33Y65 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y65 |
R_TERM_INT R_TERM_INT_X125Y68 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y64 |
INT_L INT_L_X0Y64 TIEOFF TIEOFF[0,0] TIEOFF_X0Y64 |
INT_R INT_R_X1Y64 TIEOFF TIEOFF[0,0] TIEOFF_X1Y64 |
CLBLM_R CLBLM_R_X1Y64 SLICEM SLICE[0,0] SLICE_X0Y64 SLICEL SLICE[1,0] SLICE_X1Y64 |
CLBLL_L CLBLL_L_X2Y64 SLICEL SLICE[0,0] SLICE_X2Y64 SLICEL SLICE[1,0] SLICE_X3Y64 |
INT_L INT_L_X2Y64 TIEOFF TIEOFF[0,0] TIEOFF_X2Y64 |
INT_R INT_R_X3Y64 TIEOFF TIEOFF[0,0] TIEOFF_X3Y64 |
CLBLM_R CLBLM_R_X3Y64 SLICEM SLICE[0,0] SLICE_X4Y64 SLICEL SLICE[1,0] SLICE_X5Y64 |
VBRK VBRK_X39Y67 |
BRAM_L BRAM_L_X4Y60 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y12 FIFO18E1 RAMB18[0,0] RAMB18_X0Y24 RAMB18E1 RAMB18[0,1] RAMB18_X0Y25 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y64 |
INT_L INT_L_X4Y64 TIEOFF TIEOFF[0,0] TIEOFF_X4Y64 |
INT_R INT_R_X5Y64 TIEOFF TIEOFF[0,0] TIEOFF_X5Y64 |
CLBLM_R CLBLM_R_X5Y64 SLICEM SLICE[0,0] SLICE_X6Y64 SLICEL SLICE[1,0] SLICE_X7Y64 |
CLBLM_L CLBLM_L_X6Y64 SLICEM SLICE[0,0] SLICE_X8Y64 SLICEL SLICE[1,0] SLICE_X9Y64 |
INT_L INT_L_X6Y64 TIEOFF TIEOFF[0,0] TIEOFF_X6Y64 |
INT_R INT_R_X7Y64 TIEOFF TIEOFF[0,0] TIEOFF_X7Y64 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y64 |
DSP_R DSP_R_X7Y60 TIEOFF TIEOFF[0,0] TIEOFF_X8Y60 DSP48E1 DSP48[0,0] DSP48_X0Y24 DSP48E1 DSP48[0,1] DSP48_X0Y25 |
VBRK VBRK_X50Y67 |
CLBLM_L CLBLM_L_X8Y64 SLICEM SLICE[0,0] SLICE_X10Y64 SLICEL SLICE[1,0] SLICE_X11Y64 |
INT_L INT_L_X8Y64 TIEOFF TIEOFF[0,0] TIEOFF_X9Y64 |
INT_R INT_R_X9Y64 TIEOFF TIEOFF[0,0] TIEOFF_X10Y64 |
CLBLM_R CLBLM_R_X9Y64 SLICEM SLICE[0,0] SLICE_X12Y64 SLICEL SLICE[1,0] SLICE_X13Y64 |
VBRK VBRK_X55Y67 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y67 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y67 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y67 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y67 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y67 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y67 |
VFRAME VFRAME_X68Y67 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y64 |
INT_L INT_L_X10Y64 TIEOFF TIEOFF[0,0] TIEOFF_X11Y64 |
INT_R INT_R_X11Y64 TIEOFF TIEOFF[0,0] TIEOFF_X12Y64 |
CLBLL_R CLBLL_R_X11Y64 SLICEL SLICE[0,0] SLICE_X14Y64 SLICEL SLICE[1,0] SLICE_X15Y64 |
CLBLM_L CLBLM_L_X12Y64 SLICEM SLICE[0,0] SLICE_X16Y64 SLICEL SLICE[1,0] SLICE_X17Y64 |
INT_L INT_L_X12Y64 TIEOFF TIEOFF[0,0] TIEOFF_X13Y64 |
INT_R INT_R_X13Y64 TIEOFF TIEOFF[0,0] TIEOFF_X14Y64 |
CLBLL_R CLBLL_R_X13Y64 SLICEL SLICE[0,0] SLICE_X18Y64 SLICEL SLICE[1,0] SLICE_X19Y64 |
VBRK VBRK_X77Y67 |
CLBLM_L CLBLM_L_X14Y64 SLICEM SLICE[0,0] SLICE_X20Y64 SLICEL SLICE[1,0] SLICE_X21Y64 |
INT_L INT_L_X14Y64 TIEOFF TIEOFF[0,0] TIEOFF_X15Y64 |
INT_R INT_R_X15Y64 TIEOFF TIEOFF[0,0] TIEOFF_X16Y64 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y64 |
CLK_FEED CLK_FEED_X82Y67 |
VBRK VBRK_X83Y67 |
CLBLL_L CLBLL_L_X16Y64 SLICEL SLICE[0,0] SLICE_X22Y64 SLICEL SLICE[1,0] SLICE_X23Y64 |
INT_L INT_L_X16Y64 TIEOFF TIEOFF[0,0] TIEOFF_X17Y64 |
INT_R INT_R_X17Y64 TIEOFF TIEOFF[0,0] TIEOFF_X18Y64 |
CLBLM_R CLBLM_R_X17Y64 SLICEM SLICE[0,0] SLICE_X24Y64 SLICEL SLICE[1,0] SLICE_X25Y64 |
VBRK VBRK_X88Y67 |
BRAM_L BRAM_L_X18Y60 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y12 FIFO18E1 RAMB18[0,0] RAMB18_X1Y24 RAMB18E1 RAMB18[0,1] RAMB18_X1Y25 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y64 |
INT_L INT_L_X18Y64 TIEOFF TIEOFF[0,0] TIEOFF_X19Y64 |
INT_R INT_R_X19Y64 TIEOFF TIEOFF[0,0] TIEOFF_X20Y64 |
CLBLL_R CLBLL_R_X19Y64 SLICEL SLICE[0,0] SLICE_X26Y64 SLICEL SLICE[1,0] SLICE_X27Y64 |
CLBLM_L CLBLM_L_X20Y64 SLICEM SLICE[0,0] SLICE_X28Y64 SLICEL SLICE[1,0] SLICE_X29Y64 |
INT_L INT_L_X20Y64 TIEOFF TIEOFF[0,0] TIEOFF_X21Y64 |
INT_R INT_R_X21Y64 TIEOFF TIEOFF[0,0] TIEOFF_X22Y64 |
CLBLM_R CLBLM_R_X21Y64 SLICEM SLICE[0,0] SLICE_X30Y64 SLICEL SLICE[1,0] SLICE_X31Y64 |
VBRK VBRK_X98Y67 |
DSP_L DSP_L_X22Y60 TIEOFF TIEOFF[0,0] TIEOFF_X23Y60 DSP48E1 DSP48[0,0] DSP48_X1Y24 DSP48E1 DSP48[0,1] DSP48_X1Y25 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y64 |
INT_L INT_L_X22Y64 TIEOFF TIEOFF[0,0] TIEOFF_X24Y64 |
INT_R INT_R_X23Y64 TIEOFF TIEOFF[0,0] TIEOFF_X25Y64 |
CLBLM_R CLBLM_R_X23Y64 SLICEM SLICE[0,0] SLICE_X32Y64 SLICEL SLICE[1,0] SLICE_X33Y64 |
CLBLM_L CLBLM_L_X24Y64 SLICEM SLICE[0,0] SLICE_X34Y64 SLICEL SLICE[1,0] SLICE_X35Y64 |
INT_L INT_L_X24Y64 TIEOFF TIEOFF[0,0] TIEOFF_X26Y64 |
INT_R INT_R_X25Y64 TIEOFF TIEOFF[0,0] TIEOFF_X27Y64 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y64 |
BRAM_R BRAM_R_X25Y60 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y12 FIFO18E1 RAMB18[0,0] RAMB18_X2Y24 RAMB18E1 RAMB18[0,1] RAMB18_X2Y25 |
VBRK VBRK_X109Y67 |
CLBLL_L CLBLL_L_X26Y64 SLICEL SLICE[0,0] SLICE_X36Y64 SLICEL SLICE[1,0] SLICE_X37Y64 |
INT_L INT_L_X26Y64 TIEOFF TIEOFF[0,0] TIEOFF_X28Y64 |
INT_R INT_R_X27Y64 TIEOFF TIEOFF[0,0] TIEOFF_X29Y64 |
CLBLM_R CLBLM_R_X27Y64 SLICEM SLICE[0,0] SLICE_X38Y64 SLICEL SLICE[1,0] SLICE_X39Y64 |
CLBLL_L CLBLL_L_X28Y64 SLICEL SLICE[0,0] SLICE_X40Y64 SLICEL SLICE[1,0] SLICE_X41Y64 |
INT_L INT_L_X28Y64 TIEOFF TIEOFF[0,0] TIEOFF_X30Y64 |
INT_R INT_R_X29Y64 TIEOFF TIEOFF[0,0] TIEOFF_X31Y64 |
CLBLM_R CLBLM_R_X29Y64 SLICEM SLICE[0,0] SLICE_X42Y64 SLICEL SLICE[1,0] SLICE_X43Y64 |
VBRK VBRK_X118Y67 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y64 |
INT_L INT_L_X30Y64 TIEOFF TIEOFF[0,0] TIEOFF_X32Y64 |
INT_R INT_R_X31Y64 TIEOFF TIEOFF[0,0] TIEOFF_X33Y64 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y64 |
R_TERM_INT R_TERM_INT_X125Y67 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X31Y63 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y63 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y64 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y63 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y64 IDELAYE2 IDELAY[0,0] IDELAY_X0Y63 IDELAYE2 IDELAY[0,1] IDELAY_X0Y64 |
RIOB33 RIOB33_X31Y63 IOB33S IOB[0,0] IOB_X0Y63 IOB33M IOB[0,1] IOB_X0Y64 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y63 |
INT_L INT_L_X0Y63 TIEOFF TIEOFF[0,0] TIEOFF_X0Y63 |
INT_R INT_R_X1Y63 TIEOFF TIEOFF[0,0] TIEOFF_X1Y63 |
CLBLM_R CLBLM_R_X1Y63 SLICEM SLICE[0,0] SLICE_X0Y63 SLICEL SLICE[1,0] SLICE_X1Y63 |
CLBLL_L CLBLL_L_X2Y63 SLICEL SLICE[0,0] SLICE_X2Y63 SLICEL SLICE[1,0] SLICE_X3Y63 |
INT_L INT_L_X2Y63 TIEOFF TIEOFF[0,0] TIEOFF_X2Y63 |
INT_R INT_R_X3Y63 TIEOFF TIEOFF[0,0] TIEOFF_X3Y63 |
CLBLM_R CLBLM_R_X3Y63 SLICEM SLICE[0,0] SLICE_X4Y63 SLICEL SLICE[1,0] SLICE_X5Y63 |
VBRK VBRK_X39Y66 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y63 |
INT_L INT_L_X4Y63 TIEOFF TIEOFF[0,0] TIEOFF_X4Y63 |
INT_R INT_R_X5Y63 TIEOFF TIEOFF[0,0] TIEOFF_X5Y63 |
CLBLM_R CLBLM_R_X5Y63 SLICEM SLICE[0,0] SLICE_X6Y63 SLICEL SLICE[1,0] SLICE_X7Y63 |
CLBLM_L CLBLM_L_X6Y63 SLICEM SLICE[0,0] SLICE_X8Y63 SLICEL SLICE[1,0] SLICE_X9Y63 |
INT_L INT_L_X6Y63 TIEOFF TIEOFF[0,0] TIEOFF_X6Y63 |
INT_R INT_R_X7Y63 TIEOFF TIEOFF[0,0] TIEOFF_X7Y63 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y63 |
VBRK VBRK_X50Y66 |
CLBLM_L CLBLM_L_X8Y63 SLICEM SLICE[0,0] SLICE_X10Y63 SLICEL SLICE[1,0] SLICE_X11Y63 |
INT_L INT_L_X8Y63 TIEOFF TIEOFF[0,0] TIEOFF_X9Y63 |
INT_R INT_R_X9Y63 TIEOFF TIEOFF[0,0] TIEOFF_X10Y63 |
CLBLM_R CLBLM_R_X9Y63 SLICEM SLICE[0,0] SLICE_X12Y63 SLICEL SLICE[1,0] SLICE_X13Y63 |
VBRK VBRK_X55Y66 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y66 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y66 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y66 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y66 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y66 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y66 |
VFRAME VFRAME_X68Y66 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y63 |
INT_L INT_L_X10Y63 TIEOFF TIEOFF[0,0] TIEOFF_X11Y63 |
INT_R INT_R_X11Y63 TIEOFF TIEOFF[0,0] TIEOFF_X12Y63 |
CLBLL_R CLBLL_R_X11Y63 SLICEL SLICE[0,0] SLICE_X14Y63 SLICEL SLICE[1,0] SLICE_X15Y63 |
CLBLM_L CLBLM_L_X12Y63 SLICEM SLICE[0,0] SLICE_X16Y63 SLICEL SLICE[1,0] SLICE_X17Y63 |
INT_L INT_L_X12Y63 TIEOFF TIEOFF[0,0] TIEOFF_X13Y63 |
INT_R INT_R_X13Y63 TIEOFF TIEOFF[0,0] TIEOFF_X14Y63 |
CLBLL_R CLBLL_R_X13Y63 SLICEL SLICE[0,0] SLICE_X18Y63 SLICEL SLICE[1,0] SLICE_X19Y63 |
VBRK VBRK_X77Y66 |
CLBLM_L CLBLM_L_X14Y63 SLICEM SLICE[0,0] SLICE_X20Y63 SLICEL SLICE[1,0] SLICE_X21Y63 |
INT_L INT_L_X14Y63 TIEOFF TIEOFF[0,0] TIEOFF_X15Y63 |
INT_R INT_R_X15Y63 TIEOFF TIEOFF[0,0] TIEOFF_X16Y63 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y63 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X82Y65 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y48 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y49 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y50 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y51 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y52 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y53 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y54 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y55 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y56 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y57 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y58 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y59 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y60 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y61 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y62 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y63 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y48 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y49 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y50 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y51 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y52 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y53 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y54 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y55 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y56 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y57 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y58 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y59 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y60 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y61 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y62 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y63 |
VBRK VBRK_X83Y66 |
CLBLL_L CLBLL_L_X16Y63 SLICEL SLICE[0,0] SLICE_X22Y63 SLICEL SLICE[1,0] SLICE_X23Y63 |
INT_L INT_L_X16Y63 TIEOFF TIEOFF[0,0] TIEOFF_X17Y63 |
INT_R INT_R_X17Y63 TIEOFF TIEOFF[0,0] TIEOFF_X18Y63 |
CLBLM_R CLBLM_R_X17Y63 SLICEM SLICE[0,0] SLICE_X24Y63 SLICEL SLICE[1,0] SLICE_X25Y63 |
VBRK VBRK_X88Y66 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y63 |
INT_L INT_L_X18Y63 TIEOFF TIEOFF[0,0] TIEOFF_X19Y63 |
INT_R INT_R_X19Y63 TIEOFF TIEOFF[0,0] TIEOFF_X20Y63 |
CLBLL_R CLBLL_R_X19Y63 SLICEL SLICE[0,0] SLICE_X26Y63 SLICEL SLICE[1,0] SLICE_X27Y63 |
CLBLM_L CLBLM_L_X20Y63 SLICEM SLICE[0,0] SLICE_X28Y63 SLICEL SLICE[1,0] SLICE_X29Y63 |
INT_L INT_L_X20Y63 TIEOFF TIEOFF[0,0] TIEOFF_X21Y63 |
INT_R INT_R_X21Y63 TIEOFF TIEOFF[0,0] TIEOFF_X22Y63 |
CLBLM_R CLBLM_R_X21Y63 SLICEM SLICE[0,0] SLICE_X30Y63 SLICEL SLICE[1,0] SLICE_X31Y63 |
VBRK VBRK_X98Y66 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y63 |
INT_L INT_L_X22Y63 TIEOFF TIEOFF[0,0] TIEOFF_X24Y63 |
INT_R INT_R_X23Y63 TIEOFF TIEOFF[0,0] TIEOFF_X25Y63 |
CLBLM_R CLBLM_R_X23Y63 SLICEM SLICE[0,0] SLICE_X32Y63 SLICEL SLICE[1,0] SLICE_X33Y63 |
CLBLM_L CLBLM_L_X24Y63 SLICEM SLICE[0,0] SLICE_X34Y63 SLICEL SLICE[1,0] SLICE_X35Y63 |
INT_L INT_L_X24Y63 TIEOFF TIEOFF[0,0] TIEOFF_X26Y63 |
INT_R INT_R_X25Y63 TIEOFF TIEOFF[0,0] TIEOFF_X27Y63 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y63 |
VBRK VBRK_X109Y66 |
CLBLL_L CLBLL_L_X26Y63 SLICEL SLICE[0,0] SLICE_X36Y63 SLICEL SLICE[1,0] SLICE_X37Y63 |
INT_L INT_L_X26Y63 TIEOFF TIEOFF[0,0] TIEOFF_X28Y63 |
INT_R INT_R_X27Y63 TIEOFF TIEOFF[0,0] TIEOFF_X29Y63 |
CLBLM_R CLBLM_R_X27Y63 SLICEM SLICE[0,0] SLICE_X38Y63 SLICEL SLICE[1,0] SLICE_X39Y63 |
CLBLL_L CLBLL_L_X28Y63 SLICEL SLICE[0,0] SLICE_X40Y63 SLICEL SLICE[1,0] SLICE_X41Y63 |
INT_L INT_L_X28Y63 TIEOFF TIEOFF[0,0] TIEOFF_X30Y63 |
INT_R INT_R_X29Y63 TIEOFF TIEOFF[0,0] TIEOFF_X31Y63 |
CLBLM_R CLBLM_R_X29Y63 SLICEM SLICE[0,0] SLICE_X42Y63 SLICEL SLICE[1,0] SLICE_X43Y63 |
VBRK VBRK_X118Y66 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y63 |
INT_L INT_L_X30Y63 TIEOFF TIEOFF[0,0] TIEOFF_X32Y63 |
INT_R INT_R_X31Y63 TIEOFF TIEOFF[0,0] TIEOFF_X33Y63 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y63 |
R_TERM_INT R_TERM_INT_X125Y66 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y62 |
INT_L INT_L_X0Y62 TIEOFF TIEOFF[0,0] TIEOFF_X0Y62 |
INT_R INT_R_X1Y62 TIEOFF TIEOFF[0,0] TIEOFF_X1Y62 |
CLBLM_R CLBLM_R_X1Y62 SLICEM SLICE[0,0] SLICE_X0Y62 SLICEL SLICE[1,0] SLICE_X1Y62 |
CLBLL_L CLBLL_L_X2Y62 SLICEL SLICE[0,0] SLICE_X2Y62 SLICEL SLICE[1,0] SLICE_X3Y62 |
INT_L INT_L_X2Y62 TIEOFF TIEOFF[0,0] TIEOFF_X2Y62 |
INT_R INT_R_X3Y62 TIEOFF TIEOFF[0,0] TIEOFF_X3Y62 |
CLBLM_R CLBLM_R_X3Y62 SLICEM SLICE[0,0] SLICE_X4Y62 SLICEL SLICE[1,0] SLICE_X5Y62 |
VBRK VBRK_X39Y65 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y62 |
INT_L INT_L_X4Y62 TIEOFF TIEOFF[0,0] TIEOFF_X4Y62 |
INT_R INT_R_X5Y62 TIEOFF TIEOFF[0,0] TIEOFF_X5Y62 |
CLBLM_R CLBLM_R_X5Y62 SLICEM SLICE[0,0] SLICE_X6Y62 SLICEL SLICE[1,0] SLICE_X7Y62 |
CLBLM_L CLBLM_L_X6Y62 SLICEM SLICE[0,0] SLICE_X8Y62 SLICEL SLICE[1,0] SLICE_X9Y62 |
INT_L INT_L_X6Y62 TIEOFF TIEOFF[0,0] TIEOFF_X6Y62 |
INT_R INT_R_X7Y62 TIEOFF TIEOFF[0,0] TIEOFF_X7Y62 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y62 |
VBRK VBRK_X50Y65 |
CLBLM_L CLBLM_L_X8Y62 SLICEM SLICE[0,0] SLICE_X10Y62 SLICEL SLICE[1,0] SLICE_X11Y62 |
INT_L INT_L_X8Y62 TIEOFF TIEOFF[0,0] TIEOFF_X9Y62 |
INT_R INT_R_X9Y62 TIEOFF TIEOFF[0,0] TIEOFF_X10Y62 |
CLBLM_R CLBLM_R_X9Y62 SLICEM SLICE[0,0] SLICE_X12Y62 SLICEL SLICE[1,0] SLICE_X13Y62 |
VBRK VBRK_X55Y65 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y65 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y65 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y65 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y65 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y65 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y65 |
VFRAME VFRAME_X68Y65 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y62 |
INT_L INT_L_X10Y62 TIEOFF TIEOFF[0,0] TIEOFF_X11Y62 |
INT_R INT_R_X11Y62 TIEOFF TIEOFF[0,0] TIEOFF_X12Y62 |
CLBLL_R CLBLL_R_X11Y62 SLICEL SLICE[0,0] SLICE_X14Y62 SLICEL SLICE[1,0] SLICE_X15Y62 |
CLBLM_L CLBLM_L_X12Y62 SLICEM SLICE[0,0] SLICE_X16Y62 SLICEL SLICE[1,0] SLICE_X17Y62 |
INT_L INT_L_X12Y62 TIEOFF TIEOFF[0,0] TIEOFF_X13Y62 |
INT_R INT_R_X13Y62 TIEOFF TIEOFF[0,0] TIEOFF_X14Y62 |
CLBLL_R CLBLL_R_X13Y62 SLICEL SLICE[0,0] SLICE_X18Y62 SLICEL SLICE[1,0] SLICE_X19Y62 |
VBRK VBRK_X77Y65 |
CLBLM_L CLBLM_L_X14Y62 SLICEM SLICE[0,0] SLICE_X20Y62 SLICEL SLICE[1,0] SLICE_X21Y62 |
INT_L INT_L_X14Y62 TIEOFF TIEOFF[0,0] TIEOFF_X15Y62 |
INT_R INT_R_X15Y62 TIEOFF TIEOFF[0,0] TIEOFF_X16Y62 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y62 |
VBRK VBRK_X83Y65 |
CLBLL_L CLBLL_L_X16Y62 SLICEL SLICE[0,0] SLICE_X22Y62 SLICEL SLICE[1,0] SLICE_X23Y62 |
INT_L INT_L_X16Y62 TIEOFF TIEOFF[0,0] TIEOFF_X17Y62 |
INT_R INT_R_X17Y62 TIEOFF TIEOFF[0,0] TIEOFF_X18Y62 |
CLBLM_R CLBLM_R_X17Y62 SLICEM SLICE[0,0] SLICE_X24Y62 SLICEL SLICE[1,0] SLICE_X25Y62 |
VBRK VBRK_X88Y65 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y62 |
INT_L INT_L_X18Y62 TIEOFF TIEOFF[0,0] TIEOFF_X19Y62 |
INT_R INT_R_X19Y62 TIEOFF TIEOFF[0,0] TIEOFF_X20Y62 |
CLBLL_R CLBLL_R_X19Y62 SLICEL SLICE[0,0] SLICE_X26Y62 SLICEL SLICE[1,0] SLICE_X27Y62 |
CLBLM_L CLBLM_L_X20Y62 SLICEM SLICE[0,0] SLICE_X28Y62 SLICEL SLICE[1,0] SLICE_X29Y62 |
INT_L INT_L_X20Y62 TIEOFF TIEOFF[0,0] TIEOFF_X21Y62 |
INT_R INT_R_X21Y62 TIEOFF TIEOFF[0,0] TIEOFF_X22Y62 |
CLBLM_R CLBLM_R_X21Y62 SLICEM SLICE[0,0] SLICE_X30Y62 SLICEL SLICE[1,0] SLICE_X31Y62 |
VBRK VBRK_X98Y65 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y62 |
INT_L INT_L_X22Y62 TIEOFF TIEOFF[0,0] TIEOFF_X24Y62 |
INT_R INT_R_X23Y62 TIEOFF TIEOFF[0,0] TIEOFF_X25Y62 |
CLBLM_R CLBLM_R_X23Y62 SLICEM SLICE[0,0] SLICE_X32Y62 SLICEL SLICE[1,0] SLICE_X33Y62 |
CLBLM_L CLBLM_L_X24Y62 SLICEM SLICE[0,0] SLICE_X34Y62 SLICEL SLICE[1,0] SLICE_X35Y62 |
INT_L INT_L_X24Y62 TIEOFF TIEOFF[0,0] TIEOFF_X26Y62 |
INT_R INT_R_X25Y62 TIEOFF TIEOFF[0,0] TIEOFF_X27Y62 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y62 |
VBRK VBRK_X109Y65 |
CLBLL_L CLBLL_L_X26Y62 SLICEL SLICE[0,0] SLICE_X36Y62 SLICEL SLICE[1,0] SLICE_X37Y62 |
INT_L INT_L_X26Y62 TIEOFF TIEOFF[0,0] TIEOFF_X28Y62 |
INT_R INT_R_X27Y62 TIEOFF TIEOFF[0,0] TIEOFF_X29Y62 |
CLBLM_R CLBLM_R_X27Y62 SLICEM SLICE[0,0] SLICE_X38Y62 SLICEL SLICE[1,0] SLICE_X39Y62 |
CLBLL_L CLBLL_L_X28Y62 SLICEL SLICE[0,0] SLICE_X40Y62 SLICEL SLICE[1,0] SLICE_X41Y62 |
INT_L INT_L_X28Y62 TIEOFF TIEOFF[0,0] TIEOFF_X30Y62 |
INT_R INT_R_X29Y62 TIEOFF TIEOFF[0,0] TIEOFF_X31Y62 |
CLBLM_R CLBLM_R_X29Y62 SLICEM SLICE[0,0] SLICE_X42Y62 SLICEL SLICE[1,0] SLICE_X43Y62 |
VBRK VBRK_X118Y65 |
CMT_FIFO_L CMT_FIFO_L_X120Y60 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y4 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y62 |
INT_L INT_L_X30Y62 TIEOFF TIEOFF[0,0] TIEOFF_X32Y62 |
INT_R INT_R_X31Y62 TIEOFF TIEOFF[0,0] TIEOFF_X33Y62 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y62 |
R_TERM_INT R_TERM_INT_X125Y65 |
RIOI3 RIOI3_X31Y61 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y61 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y62 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y61 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y62 IDELAYE2 IDELAY[0,0] IDELAY_X0Y61 IDELAYE2 IDELAY[0,1] IDELAY_X0Y62 |
RIOB33 RIOB33_X31Y61 IOB33S IOB[0,0] IOB_X0Y61 IOB33M IOB[0,1] IOB_X0Y62 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y61 |
INT_L INT_L_X0Y61 TIEOFF TIEOFF[0,0] TIEOFF_X0Y61 |
INT_R INT_R_X1Y61 TIEOFF TIEOFF[0,0] TIEOFF_X1Y61 |
CLBLM_R CLBLM_R_X1Y61 SLICEM SLICE[0,0] SLICE_X0Y61 SLICEL SLICE[1,0] SLICE_X1Y61 |
CLBLL_L CLBLL_L_X2Y61 SLICEL SLICE[0,0] SLICE_X2Y61 SLICEL SLICE[1,0] SLICE_X3Y61 |
INT_L INT_L_X2Y61 TIEOFF TIEOFF[0,0] TIEOFF_X2Y61 |
INT_R INT_R_X3Y61 TIEOFF TIEOFF[0,0] TIEOFF_X3Y61 |
CLBLM_R CLBLM_R_X3Y61 SLICEM SLICE[0,0] SLICE_X4Y61 SLICEL SLICE[1,0] SLICE_X5Y61 |
VBRK VBRK_X39Y64 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y61 |
INT_L INT_L_X4Y61 TIEOFF TIEOFF[0,0] TIEOFF_X4Y61 |
INT_R INT_R_X5Y61 TIEOFF TIEOFF[0,0] TIEOFF_X5Y61 |
CLBLM_R CLBLM_R_X5Y61 SLICEM SLICE[0,0] SLICE_X6Y61 SLICEL SLICE[1,0] SLICE_X7Y61 |
CLBLM_L CLBLM_L_X6Y61 SLICEM SLICE[0,0] SLICE_X8Y61 SLICEL SLICE[1,0] SLICE_X9Y61 |
INT_L INT_L_X6Y61 TIEOFF TIEOFF[0,0] TIEOFF_X6Y61 |
INT_R INT_R_X7Y61 TIEOFF TIEOFF[0,0] TIEOFF_X7Y61 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y61 |
VBRK VBRK_X50Y64 |
CLBLM_L CLBLM_L_X8Y61 SLICEM SLICE[0,0] SLICE_X10Y61 SLICEL SLICE[1,0] SLICE_X11Y61 |
INT_L INT_L_X8Y61 TIEOFF TIEOFF[0,0] TIEOFF_X9Y61 |
INT_R INT_R_X9Y61 TIEOFF TIEOFF[0,0] TIEOFF_X10Y61 |
CLBLM_R CLBLM_R_X9Y61 SLICEM SLICE[0,0] SLICE_X12Y61 SLICEL SLICE[1,0] SLICE_X13Y61 |
VBRK VBRK_X55Y64 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y64 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y64 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y64 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y64 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y64 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y64 |
VFRAME VFRAME_X68Y64 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y61 |
INT_L INT_L_X10Y61 TIEOFF TIEOFF[0,0] TIEOFF_X11Y61 |
INT_R INT_R_X11Y61 TIEOFF TIEOFF[0,0] TIEOFF_X12Y61 |
CLBLL_R CLBLL_R_X11Y61 SLICEL SLICE[0,0] SLICE_X14Y61 SLICEL SLICE[1,0] SLICE_X15Y61 |
CLBLM_L CLBLM_L_X12Y61 SLICEM SLICE[0,0] SLICE_X16Y61 SLICEL SLICE[1,0] SLICE_X17Y61 |
INT_L INT_L_X12Y61 TIEOFF TIEOFF[0,0] TIEOFF_X13Y61 |
INT_R INT_R_X13Y61 TIEOFF TIEOFF[0,0] TIEOFF_X14Y61 |
CLBLL_R CLBLL_R_X13Y61 SLICEL SLICE[0,0] SLICE_X18Y61 SLICEL SLICE[1,0] SLICE_X19Y61 |
VBRK VBRK_X77Y64 |
CLBLM_L CLBLM_L_X14Y61 SLICEM SLICE[0,0] SLICE_X20Y61 SLICEL SLICE[1,0] SLICE_X21Y61 |
INT_L INT_L_X14Y61 TIEOFF TIEOFF[0,0] TIEOFF_X15Y61 |
INT_R INT_R_X15Y61 TIEOFF TIEOFF[0,0] TIEOFF_X16Y61 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y61 |
CLK_FEED CLK_FEED_X82Y64 |
VBRK VBRK_X83Y64 |
CLBLL_L CLBLL_L_X16Y61 SLICEL SLICE[0,0] SLICE_X22Y61 SLICEL SLICE[1,0] SLICE_X23Y61 |
INT_L INT_L_X16Y61 TIEOFF TIEOFF[0,0] TIEOFF_X17Y61 |
INT_R INT_R_X17Y61 TIEOFF TIEOFF[0,0] TIEOFF_X18Y61 |
CLBLM_R CLBLM_R_X17Y61 SLICEM SLICE[0,0] SLICE_X24Y61 SLICEL SLICE[1,0] SLICE_X25Y61 |
VBRK VBRK_X88Y64 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y61 |
INT_L INT_L_X18Y61 TIEOFF TIEOFF[0,0] TIEOFF_X19Y61 |
INT_R INT_R_X19Y61 TIEOFF TIEOFF[0,0] TIEOFF_X20Y61 |
CLBLL_R CLBLL_R_X19Y61 SLICEL SLICE[0,0] SLICE_X26Y61 SLICEL SLICE[1,0] SLICE_X27Y61 |
CLBLM_L CLBLM_L_X20Y61 SLICEM SLICE[0,0] SLICE_X28Y61 SLICEL SLICE[1,0] SLICE_X29Y61 |
INT_L INT_L_X20Y61 TIEOFF TIEOFF[0,0] TIEOFF_X21Y61 |
INT_R INT_R_X21Y61 TIEOFF TIEOFF[0,0] TIEOFF_X22Y61 |
CLBLM_R CLBLM_R_X21Y61 SLICEM SLICE[0,0] SLICE_X30Y61 SLICEL SLICE[1,0] SLICE_X31Y61 |
VBRK VBRK_X98Y64 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y61 |
INT_L INT_L_X22Y61 TIEOFF TIEOFF[0,0] TIEOFF_X24Y61 |
INT_R INT_R_X23Y61 TIEOFF TIEOFF[0,0] TIEOFF_X25Y61 |
CLBLM_R CLBLM_R_X23Y61 SLICEM SLICE[0,0] SLICE_X32Y61 SLICEL SLICE[1,0] SLICE_X33Y61 |
CLBLM_L CLBLM_L_X24Y61 SLICEM SLICE[0,0] SLICE_X34Y61 SLICEL SLICE[1,0] SLICE_X35Y61 |
INT_L INT_L_X24Y61 TIEOFF TIEOFF[0,0] TIEOFF_X26Y61 |
INT_R INT_R_X25Y61 TIEOFF TIEOFF[0,0] TIEOFF_X27Y61 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y61 |
VBRK VBRK_X109Y64 |
CLBLL_L CLBLL_L_X26Y61 SLICEL SLICE[0,0] SLICE_X36Y61 SLICEL SLICE[1,0] SLICE_X37Y61 |
INT_L INT_L_X26Y61 TIEOFF TIEOFF[0,0] TIEOFF_X28Y61 |
INT_R INT_R_X27Y61 TIEOFF TIEOFF[0,0] TIEOFF_X29Y61 |
CLBLM_R CLBLM_R_X27Y61 SLICEM SLICE[0,0] SLICE_X38Y61 SLICEL SLICE[1,0] SLICE_X39Y61 |
CLBLL_L CLBLL_L_X28Y61 SLICEL SLICE[0,0] SLICE_X40Y61 SLICEL SLICE[1,0] SLICE_X41Y61 |
INT_L INT_L_X28Y61 TIEOFF TIEOFF[0,0] TIEOFF_X30Y61 |
INT_R INT_R_X29Y61 TIEOFF TIEOFF[0,0] TIEOFF_X31Y61 |
CLBLM_R CLBLM_R_X29Y61 SLICEM SLICE[0,0] SLICE_X42Y61 SLICEL SLICE[1,0] SLICE_X43Y61 |
VBRK VBRK_X118Y64 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y61 |
INT_L INT_L_X30Y61 TIEOFF TIEOFF[0,0] TIEOFF_X32Y61 |
INT_R INT_R_X31Y61 TIEOFF TIEOFF[0,0] TIEOFF_X33Y61 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y61 |
R_TERM_INT R_TERM_INT_X125Y64 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y60 |
INT_L INT_L_X0Y60 TIEOFF TIEOFF[0,0] TIEOFF_X0Y60 |
INT_R INT_R_X1Y60 TIEOFF TIEOFF[0,0] TIEOFF_X1Y60 |
CLBLM_R CLBLM_R_X1Y60 SLICEM SLICE[0,0] SLICE_X0Y60 SLICEL SLICE[1,0] SLICE_X1Y60 |
CLBLL_L CLBLL_L_X2Y60 SLICEL SLICE[0,0] SLICE_X2Y60 SLICEL SLICE[1,0] SLICE_X3Y60 |
INT_L INT_L_X2Y60 TIEOFF TIEOFF[0,0] TIEOFF_X2Y60 |
INT_R INT_R_X3Y60 TIEOFF TIEOFF[0,0] TIEOFF_X3Y60 |
CLBLM_R CLBLM_R_X3Y60 SLICEM SLICE[0,0] SLICE_X4Y60 SLICEL SLICE[1,0] SLICE_X5Y60 |
VBRK VBRK_X39Y63 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y60 |
INT_L INT_L_X4Y60 TIEOFF TIEOFF[0,0] TIEOFF_X4Y60 |
INT_R INT_R_X5Y60 TIEOFF TIEOFF[0,0] TIEOFF_X5Y60 |
CLBLM_R CLBLM_R_X5Y60 SLICEM SLICE[0,0] SLICE_X6Y60 SLICEL SLICE[1,0] SLICE_X7Y60 |
CLBLM_L CLBLM_L_X6Y60 SLICEM SLICE[0,0] SLICE_X8Y60 SLICEL SLICE[1,0] SLICE_X9Y60 |
INT_L INT_L_X6Y60 TIEOFF TIEOFF[0,0] TIEOFF_X6Y60 |
INT_R INT_R_X7Y60 TIEOFF TIEOFF[0,0] TIEOFF_X7Y60 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y60 |
VBRK VBRK_X50Y63 |
CLBLM_L CLBLM_L_X8Y60 SLICEM SLICE[0,0] SLICE_X10Y60 SLICEL SLICE[1,0] SLICE_X11Y60 |
INT_L INT_L_X8Y60 TIEOFF TIEOFF[0,0] TIEOFF_X9Y60 |
INT_R INT_R_X9Y60 TIEOFF TIEOFF[0,0] TIEOFF_X10Y60 |
CLBLM_R CLBLM_R_X9Y60 SLICEM SLICE[0,0] SLICE_X12Y60 SLICEL SLICE[1,0] SLICE_X13Y60 |
VBRK VBRK_X55Y63 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y63 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y63 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y63 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y63 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y63 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y63 |
VFRAME VFRAME_X68Y63 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y60 |
INT_L INT_L_X10Y60 TIEOFF TIEOFF[0,0] TIEOFF_X11Y60 |
INT_R INT_R_X11Y60 TIEOFF TIEOFF[0,0] TIEOFF_X12Y60 |
CLBLL_R CLBLL_R_X11Y60 SLICEL SLICE[0,0] SLICE_X14Y60 SLICEL SLICE[1,0] SLICE_X15Y60 |
CLBLM_L CLBLM_L_X12Y60 SLICEM SLICE[0,0] SLICE_X16Y60 SLICEL SLICE[1,0] SLICE_X17Y60 |
INT_L INT_L_X12Y60 TIEOFF TIEOFF[0,0] TIEOFF_X13Y60 |
INT_R INT_R_X13Y60 TIEOFF TIEOFF[0,0] TIEOFF_X14Y60 |
CLBLL_R CLBLL_R_X13Y60 SLICEL SLICE[0,0] SLICE_X18Y60 SLICEL SLICE[1,0] SLICE_X19Y60 |
VBRK VBRK_X77Y63 |
CLBLM_L CLBLM_L_X14Y60 SLICEM SLICE[0,0] SLICE_X20Y60 SLICEL SLICE[1,0] SLICE_X21Y60 |
INT_L INT_L_X14Y60 TIEOFF TIEOFF[0,0] TIEOFF_X15Y60 |
INT_R INT_R_X15Y60 TIEOFF TIEOFF[0,0] TIEOFF_X16Y60 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y60 |
CLK_FEED CLK_FEED_X82Y63 |
VBRK VBRK_X83Y63 |
CLBLL_L CLBLL_L_X16Y60 SLICEL SLICE[0,0] SLICE_X22Y60 SLICEL SLICE[1,0] SLICE_X23Y60 |
INT_L INT_L_X16Y60 TIEOFF TIEOFF[0,0] TIEOFF_X17Y60 |
INT_R INT_R_X17Y60 TIEOFF TIEOFF[0,0] TIEOFF_X18Y60 |
CLBLM_R CLBLM_R_X17Y60 SLICEM SLICE[0,0] SLICE_X24Y60 SLICEL SLICE[1,0] SLICE_X25Y60 |
VBRK VBRK_X88Y63 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y60 |
INT_L INT_L_X18Y60 TIEOFF TIEOFF[0,0] TIEOFF_X19Y60 |
INT_R INT_R_X19Y60 TIEOFF TIEOFF[0,0] TIEOFF_X20Y60 |
CLBLL_R CLBLL_R_X19Y60 SLICEL SLICE[0,0] SLICE_X26Y60 SLICEL SLICE[1,0] SLICE_X27Y60 |
CLBLM_L CLBLM_L_X20Y60 SLICEM SLICE[0,0] SLICE_X28Y60 SLICEL SLICE[1,0] SLICE_X29Y60 |
INT_L INT_L_X20Y60 TIEOFF TIEOFF[0,0] TIEOFF_X21Y60 |
INT_R INT_R_X21Y60 TIEOFF TIEOFF[0,0] TIEOFF_X22Y60 |
CLBLM_R CLBLM_R_X21Y60 SLICEM SLICE[0,0] SLICE_X30Y60 SLICEL SLICE[1,0] SLICE_X31Y60 |
VBRK VBRK_X98Y63 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y60 |
INT_L INT_L_X22Y60 TIEOFF TIEOFF[0,0] TIEOFF_X24Y60 |
INT_R INT_R_X23Y60 TIEOFF TIEOFF[0,0] TIEOFF_X25Y60 |
CLBLM_R CLBLM_R_X23Y60 SLICEM SLICE[0,0] SLICE_X32Y60 SLICEL SLICE[1,0] SLICE_X33Y60 |
CLBLM_L CLBLM_L_X24Y60 SLICEM SLICE[0,0] SLICE_X34Y60 SLICEL SLICE[1,0] SLICE_X35Y60 |
INT_L INT_L_X24Y60 TIEOFF TIEOFF[0,0] TIEOFF_X26Y60 |
INT_R INT_R_X25Y60 TIEOFF TIEOFF[0,0] TIEOFF_X27Y60 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y60 |
VBRK VBRK_X109Y63 |
CLBLL_L CLBLL_L_X26Y60 SLICEL SLICE[0,0] SLICE_X36Y60 SLICEL SLICE[1,0] SLICE_X37Y60 |
INT_L INT_L_X26Y60 TIEOFF TIEOFF[0,0] TIEOFF_X28Y60 |
INT_R INT_R_X27Y60 TIEOFF TIEOFF[0,0] TIEOFF_X29Y60 |
CLBLM_R CLBLM_R_X27Y60 SLICEM SLICE[0,0] SLICE_X38Y60 SLICEL SLICE[1,0] SLICE_X39Y60 |
CLBLL_L CLBLL_L_X28Y60 SLICEL SLICE[0,0] SLICE_X40Y60 SLICEL SLICE[1,0] SLICE_X41Y60 |
INT_L INT_L_X28Y60 TIEOFF TIEOFF[0,0] TIEOFF_X30Y60 |
INT_R INT_R_X29Y60 TIEOFF TIEOFF[0,0] TIEOFF_X31Y60 |
CLBLM_R CLBLM_R_X29Y60 SLICEM SLICE[0,0] SLICE_X42Y60 SLICEL SLICE[1,0] SLICE_X43Y60 |
VBRK VBRK_X118Y63 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y60 |
INT_L INT_L_X30Y60 TIEOFF TIEOFF[0,0] TIEOFF_X32Y60 |
INT_R INT_R_X31Y60 TIEOFF TIEOFF[0,0] TIEOFF_X33Y60 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y60 |
R_TERM_INT R_TERM_INT_X125Y63 |
RIOI3 RIOI3_X31Y59 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y59 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y60 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y59 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y60 IDELAYE2 IDELAY[0,0] IDELAY_X0Y59 IDELAYE2 IDELAY[0,1] IDELAY_X0Y60 |
RIOB33 RIOB33_X31Y59 IOB33S IOB[0,0] IOB_X0Y59 IOB33M IOB[0,1] IOB_X0Y60 |
||||||||||||||||||||||||||||||||||||||||
PSS2 PSS2_X13Y53 IOPAD IOPAD[0,0] IOPAD_X1Y1 IOPAD IOPAD[0,1] IOPAD_X1Y2 IOPAD IOPAD[0,2] IOPAD_X1Y3 IOPAD IOPAD[0,3] IOPAD_X1Y4 IOPAD IOPAD[0,4] IOPAD_X1Y5 IOPAD IOPAD[0,5] IOPAD_X1Y6 IOPAD IOPAD[0,6] IOPAD_X1Y7 IOPAD IOPAD[0,7] IOPAD_X1Y8 IOPAD IOPAD[0,8] IOPAD_X1Y9 IOPAD IOPAD[0,9] IOPAD_X1Y10 IOPAD IOPAD[0,10] IOPAD_X1Y11 IOPAD IOPAD[0,11] IOPAD_X1Y12 IOPAD IOPAD[0,12] IOPAD_X1Y13 IOPAD IOPAD[0,13] IOPAD_X1Y14 IOPAD IOPAD[0,14] IOPAD_X1Y15 IOPAD IOPAD[0,15] IOPAD_X1Y16 IOPAD IOPAD[0,16] IOPAD_X1Y17 IOPAD IOPAD[0,17] IOPAD_X1Y18 IOPAD IOPAD[0,18] IOPAD_X1Y19 IOPAD IOPAD[0,19] IOPAD_X1Y20 IOPAD IOPAD[0,20] IOPAD_X1Y21 IOPAD IOPAD[0,21] IOPAD_X1Y22 IOPAD IOPAD[0,22] IOPAD_X1Y23 IOPAD IOPAD[0,23] IOPAD_X1Y24 IOPAD IOPAD[0,24] IOPAD_X1Y25 IOPAD IOPAD[0,25] IOPAD_X1Y26 IOPAD IOPAD[0,26] IOPAD_X1Y27 IOPAD IOPAD[0,27] IOPAD_X1Y28 IOPAD IOPAD[0,28] IOPAD_X1Y29 IOPAD IOPAD[0,29] IOPAD_X1Y30 IOPAD IOPAD[0,30] IOPAD_X1Y31 IOPAD IOPAD[0,31] IOPAD_X1Y32 IOPAD IOPAD[0,32] IOPAD_X1Y33 IOPAD IOPAD[0,33] IOPAD_X1Y34 IOPAD IOPAD[0,34] IOPAD_X1Y35 IOPAD IOPAD[0,35] IOPAD_X1Y36 IOPAD IOPAD[0,36] IOPAD_X1Y37 IOPAD IOPAD[0,37] IOPAD_X1Y38 IOPAD IOPAD[0,38] IOPAD_X1Y39 IOPAD IOPAD[0,39] IOPAD_X1Y40 IOPAD IOPAD[0,40] IOPAD_X1Y41 IOPAD IOPAD[0,41] IOPAD_X1Y42 IOPAD IOPAD[0,42] IOPAD_X1Y43 IOPAD IOPAD[0,43] IOPAD_X1Y44 IOPAD IOPAD[0,44] IOPAD_X1Y45 IOPAD IOPAD[0,45] IOPAD_X1Y46 IOPAD IOPAD[0,46] IOPAD_X1Y47 IOPAD IOPAD[0,47] IOPAD_X1Y48 IOPAD IOPAD[0,48] IOPAD_X1Y49 IOPAD IOPAD[0,49] IOPAD_X1Y50 IOPAD IOPAD[0,50] IOPAD_X1Y51 IOPAD IOPAD[0,51] IOPAD_X1Y52 IOPAD IOPAD[0,52] IOPAD_X1Y53 IOPAD IOPAD[0,53] IOPAD_X1Y54 IOPAD IOPAD[0,54] IOPAD_X1Y55 IOPAD IOPAD[0,55] IOPAD_X1Y56 IOPAD IOPAD[0,56] IOPAD_X1Y57 IOPAD IOPAD[0,57] IOPAD_X1Y58 IOPAD IOPAD[0,58] IOPAD_X1Y59 IOPAD IOPAD[0,59] IOPAD_X1Y60 IOPAD IOPAD[0,60] IOPAD_X1Y61 IOPAD IOPAD[0,61] IOPAD_X1Y62 IOPAD IOPAD[0,62] IOPAD_X1Y63 IOPAD IOPAD[0,63] IOPAD_X1Y64 IOPAD IOPAD[0,64] IOPAD_X1Y65 IOPAD IOPAD[0,65] IOPAD_X1Y66 IOPAD IOPAD[0,66] IOPAD_X1Y67 IOPAD IOPAD[0,67] IOPAD_X1Y68 IOPAD IOPAD[0,68] IOPAD_X1Y69 IOPAD IOPAD[0,69] IOPAD_X1Y70 IOPAD IOPAD[0,70] IOPAD_X1Y71 IOPAD IOPAD[0,71] IOPAD_X1Y72 IOPAD IOPAD[0,76] IOPAD_X1Y77 IOPAD IOPAD[0,77] IOPAD_X1Y78 IOPAD IOPAD[0,78] IOPAD_X1Y79 IOPAD IOPAD[0,79] IOPAD_X1Y80 IOPAD IOPAD[0,80] IOPAD_X1Y81 IOPAD IOPAD[0,81] IOPAD_X1Y82 IOPAD IOPAD[0,82] IOPAD_X1Y83 IOPAD IOPAD[0,83] IOPAD_X1Y84 IOPAD IOPAD[0,84] IOPAD_X1Y85 IOPAD IOPAD[0,85] IOPAD_X1Y86 IOPAD IOPAD[0,86] IOPAD_X1Y87 IOPAD IOPAD[0,87] IOPAD_X1Y88 IOPAD IOPAD[0,88] IOPAD_X1Y89 IOPAD IOPAD[0,89] IOPAD_X1Y90 IOPAD IOPAD[0,90] IOPAD_X1Y91 IOPAD IOPAD[0,91] IOPAD_X1Y92 IOPAD IOPAD[0,92] IOPAD_X1Y93 IOPAD IOPAD[0,93] IOPAD_X1Y94 IOPAD IOPAD[0,94] IOPAD_X1Y95 IOPAD IOPAD[0,95] IOPAD_X1Y96 IOPAD IOPAD[0,96] IOPAD_X1Y97 IOPAD IOPAD[0,97] IOPAD_X1Y98 IOPAD IOPAD[0,98] IOPAD_X1Y99 IOPAD IOPAD[0,99] IOPAD_X1Y100 IOPAD IOPAD[0,100] IOPAD_X1Y101 IOPAD IOPAD[0,101] IOPAD_X1Y102 IOPAD IOPAD[0,102] IOPAD_X1Y103 IOPAD IOPAD[0,103] IOPAD_X1Y104 IOPAD IOPAD[0,104] IOPAD_X1Y105 IOPAD IOPAD[0,105] IOPAD_X1Y106 IOPAD IOPAD[0,106] IOPAD_X1Y107 IOPAD IOPAD[0,107] IOPAD_X1Y108 IOPAD IOPAD[0,108] IOPAD_X1Y109 IOPAD IOPAD[0,109] IOPAD_X1Y110 IOPAD IOPAD[0,110] IOPAD_X1Y111 IOPAD IOPAD[0,111] IOPAD_X1Y112 IOPAD IOPAD[0,112] IOPAD_X1Y113 IOPAD IOPAD[0,113] IOPAD_X1Y114 IOPAD IOPAD[0,114] IOPAD_X1Y115 IOPAD IOPAD[0,115] IOPAD_X1Y116 IOPAD IOPAD[0,116] IOPAD_X1Y117 IOPAD IOPAD[0,117] IOPAD_X1Y118 IOPAD IOPAD[0,118] IOPAD_X1Y119 IOPAD IOPAD[0,119] IOPAD_X1Y120 IOPAD IOPAD[0,120] IOPAD_X1Y121 IOPAD IOPAD[0,121] IOPAD_X1Y122 IOPAD IOPAD[0,122] IOPAD_X1Y123 IOPAD IOPAD[0,123] IOPAD_X1Y124 IOPAD IOPAD[0,124] IOPAD_X1Y125 IOPAD IOPAD[0,125] IOPAD_X1Y126 IOPAD IOPAD[0,126] IOPAD_X1Y127 IOPAD IOPAD[0,127] IOPAD_X1Y128 IOPAD IOPAD[0,128] IOPAD_X1Y129 IOPAD IOPAD[0,129] IOPAD_X1Y130 IOPAD IOPAD[0,130] IOPAD_X1Y131 IOPAD IOPAD[0,131] IOPAD_X1Y132 IOPAD IOPAD[0,132] IOPAD_X1Y133 IOPAD IOPAD[0,133] IOPAD_X1Y134 PS7 PS7[0,0] PS7_X0Y0 |
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y59 |
INT_L INT_L_X0Y59 TIEOFF TIEOFF[0,0] TIEOFF_X0Y59 |
INT_R INT_R_X1Y59 TIEOFF TIEOFF[0,0] TIEOFF_X1Y59 |
CLBLM_R CLBLM_R_X1Y59 SLICEM SLICE[0,0] SLICE_X0Y59 SLICEL SLICE[1,0] SLICE_X1Y59 |
CLBLL_L CLBLL_L_X2Y59 SLICEL SLICE[0,0] SLICE_X2Y59 SLICEL SLICE[1,0] SLICE_X3Y59 |
INT_L INT_L_X2Y59 TIEOFF TIEOFF[0,0] TIEOFF_X2Y59 |
INT_R INT_R_X3Y59 TIEOFF TIEOFF[0,0] TIEOFF_X3Y59 |
CLBLM_R CLBLM_R_X3Y59 SLICEM SLICE[0,0] SLICE_X4Y59 SLICEL SLICE[1,0] SLICE_X5Y59 |
VBRK VBRK_X39Y62 |
BRAM_L BRAM_L_X4Y55 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y11 FIFO18E1 RAMB18[0,0] RAMB18_X0Y22 RAMB18E1 RAMB18[0,1] RAMB18_X0Y23 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y59 |
INT_L INT_L_X4Y59 TIEOFF TIEOFF[0,0] TIEOFF_X4Y59 |
INT_R INT_R_X5Y59 TIEOFF TIEOFF[0,0] TIEOFF_X5Y59 |
CLBLM_R CLBLM_R_X5Y59 SLICEM SLICE[0,0] SLICE_X6Y59 SLICEL SLICE[1,0] SLICE_X7Y59 |
CLBLM_L CLBLM_L_X6Y59 SLICEM SLICE[0,0] SLICE_X8Y59 SLICEL SLICE[1,0] SLICE_X9Y59 |
INT_L INT_L_X6Y59 TIEOFF TIEOFF[0,0] TIEOFF_X6Y59 |
INT_R INT_R_X7Y59 TIEOFF TIEOFF[0,0] TIEOFF_X7Y59 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y59 |
DSP_R DSP_R_X7Y55 TIEOFF TIEOFF[0,0] TIEOFF_X8Y55 DSP48E1 DSP48[0,0] DSP48_X0Y22 DSP48E1 DSP48[0,1] DSP48_X0Y23 |
VBRK VBRK_X50Y62 |
CLBLM_L CLBLM_L_X8Y59 SLICEM SLICE[0,0] SLICE_X10Y59 SLICEL SLICE[1,0] SLICE_X11Y59 |
INT_L INT_L_X8Y59 TIEOFF TIEOFF[0,0] TIEOFF_X9Y59 |
INT_R INT_R_X9Y59 TIEOFF TIEOFF[0,0] TIEOFF_X10Y59 |
CLBLM_R CLBLM_R_X9Y59 SLICEM SLICE[0,0] SLICE_X12Y59 SLICEL SLICE[1,0] SLICE_X13Y59 |
VBRK VBRK_X55Y62 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y62 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y62 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y62 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y62 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y62 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y62 |
CFG_SECURITY_BOT_PELE1 CFG_SECURITY_BOT_PELE1_X67Y53 |
VFRAME VFRAME_X68Y62 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y59 |
INT_L INT_L_X10Y59 TIEOFF TIEOFF[0,0] TIEOFF_X11Y59 |
INT_R INT_R_X11Y59 TIEOFF TIEOFF[0,0] TIEOFF_X12Y59 |
CLBLL_R CLBLL_R_X11Y59 SLICEL SLICE[0,0] SLICE_X14Y59 SLICEL SLICE[1,0] SLICE_X15Y59 |
CLBLM_L CLBLM_L_X12Y59 SLICEM SLICE[0,0] SLICE_X16Y59 SLICEL SLICE[1,0] SLICE_X17Y59 |
INT_L INT_L_X12Y59 TIEOFF TIEOFF[0,0] TIEOFF_X13Y59 |
INT_R INT_R_X13Y59 TIEOFF TIEOFF[0,0] TIEOFF_X14Y59 |
CLBLL_R CLBLL_R_X13Y59 SLICEL SLICE[0,0] SLICE_X18Y59 SLICEL SLICE[1,0] SLICE_X19Y59 |
VBRK VBRK_X77Y62 |
CLBLM_L CLBLM_L_X14Y59 SLICEM SLICE[0,0] SLICE_X20Y59 SLICEL SLICE[1,0] SLICE_X21Y59 |
INT_L INT_L_X14Y59 TIEOFF TIEOFF[0,0] TIEOFF_X15Y59 |
INT_R INT_R_X15Y59 TIEOFF TIEOFF[0,0] TIEOFF_X16Y59 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y59 |
CLK_FEED CLK_FEED_X82Y62 |
VBRK VBRK_X83Y62 |
CLBLL_L CLBLL_L_X16Y59 SLICEL SLICE[0,0] SLICE_X22Y59 SLICEL SLICE[1,0] SLICE_X23Y59 |
INT_L INT_L_X16Y59 TIEOFF TIEOFF[0,0] TIEOFF_X17Y59 |
INT_R INT_R_X17Y59 TIEOFF TIEOFF[0,0] TIEOFF_X18Y59 |
CLBLM_R CLBLM_R_X17Y59 SLICEM SLICE[0,0] SLICE_X24Y59 SLICEL SLICE[1,0] SLICE_X25Y59 |
VBRK VBRK_X88Y62 |
BRAM_L BRAM_L_X18Y55 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y11 FIFO18E1 RAMB18[0,0] RAMB18_X1Y22 RAMB18E1 RAMB18[0,1] RAMB18_X1Y23 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y59 |
INT_L INT_L_X18Y59 TIEOFF TIEOFF[0,0] TIEOFF_X19Y59 |
INT_R INT_R_X19Y59 TIEOFF TIEOFF[0,0] TIEOFF_X20Y59 |
CLBLL_R CLBLL_R_X19Y59 SLICEL SLICE[0,0] SLICE_X26Y59 SLICEL SLICE[1,0] SLICE_X27Y59 |
CLBLM_L CLBLM_L_X20Y59 SLICEM SLICE[0,0] SLICE_X28Y59 SLICEL SLICE[1,0] SLICE_X29Y59 |
INT_L INT_L_X20Y59 TIEOFF TIEOFF[0,0] TIEOFF_X21Y59 |
INT_R INT_R_X21Y59 TIEOFF TIEOFF[0,0] TIEOFF_X22Y59 |
CLBLM_R CLBLM_R_X21Y59 SLICEM SLICE[0,0] SLICE_X30Y59 SLICEL SLICE[1,0] SLICE_X31Y59 |
VBRK VBRK_X98Y62 |
DSP_L DSP_L_X22Y55 TIEOFF TIEOFF[0,0] TIEOFF_X23Y55 DSP48E1 DSP48[0,0] DSP48_X1Y22 DSP48E1 DSP48[0,1] DSP48_X1Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y59 |
INT_L INT_L_X22Y59 TIEOFF TIEOFF[0,0] TIEOFF_X24Y59 |
INT_R INT_R_X23Y59 TIEOFF TIEOFF[0,0] TIEOFF_X25Y59 |
CLBLM_R CLBLM_R_X23Y59 SLICEM SLICE[0,0] SLICE_X32Y59 SLICEL SLICE[1,0] SLICE_X33Y59 |
CLBLM_L CLBLM_L_X24Y59 SLICEM SLICE[0,0] SLICE_X34Y59 SLICEL SLICE[1,0] SLICE_X35Y59 |
INT_L INT_L_X24Y59 TIEOFF TIEOFF[0,0] TIEOFF_X26Y59 |
INT_R INT_R_X25Y59 TIEOFF TIEOFF[0,0] TIEOFF_X27Y59 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y59 |
BRAM_R BRAM_R_X25Y55 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y11 FIFO18E1 RAMB18[0,0] RAMB18_X2Y22 RAMB18E1 RAMB18[0,1] RAMB18_X2Y23 |
VBRK VBRK_X109Y62 |
CLBLL_L CLBLL_L_X26Y59 SLICEL SLICE[0,0] SLICE_X36Y59 SLICEL SLICE[1,0] SLICE_X37Y59 |
INT_L INT_L_X26Y59 TIEOFF TIEOFF[0,0] TIEOFF_X28Y59 |
INT_R INT_R_X27Y59 TIEOFF TIEOFF[0,0] TIEOFF_X29Y59 |
CLBLM_R CLBLM_R_X27Y59 SLICEM SLICE[0,0] SLICE_X38Y59 SLICEL SLICE[1,0] SLICE_X39Y59 |
CLBLL_L CLBLL_L_X28Y59 SLICEL SLICE[0,0] SLICE_X40Y59 SLICEL SLICE[1,0] SLICE_X41Y59 |
INT_L INT_L_X28Y59 TIEOFF TIEOFF[0,0] TIEOFF_X30Y59 |
INT_R INT_R_X29Y59 TIEOFF TIEOFF[0,0] TIEOFF_X31Y59 |
CLBLM_R CLBLM_R_X29Y59 SLICEM SLICE[0,0] SLICE_X42Y59 SLICEL SLICE[1,0] SLICE_X43Y59 |
VBRK VBRK_X118Y62 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y59 |
INT_L INT_L_X30Y59 TIEOFF TIEOFF[0,0] TIEOFF_X32Y59 |
INT_R INT_R_X31Y59 TIEOFF TIEOFF[0,0] TIEOFF_X33Y59 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y59 |
R_TERM_INT R_TERM_INT_X125Y62 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y58 |
INT_L INT_L_X0Y58 TIEOFF TIEOFF[0,0] TIEOFF_X0Y58 |
INT_R INT_R_X1Y58 TIEOFF TIEOFF[0,0] TIEOFF_X1Y58 |
CLBLM_R CLBLM_R_X1Y58 SLICEM SLICE[0,0] SLICE_X0Y58 SLICEL SLICE[1,0] SLICE_X1Y58 |
CLBLL_L CLBLL_L_X2Y58 SLICEL SLICE[0,0] SLICE_X2Y58 SLICEL SLICE[1,0] SLICE_X3Y58 |
INT_L INT_L_X2Y58 TIEOFF TIEOFF[0,0] TIEOFF_X2Y58 |
INT_R INT_R_X3Y58 TIEOFF TIEOFF[0,0] TIEOFF_X3Y58 |
CLBLM_R CLBLM_R_X3Y58 SLICEM SLICE[0,0] SLICE_X4Y58 SLICEL SLICE[1,0] SLICE_X5Y58 |
VBRK VBRK_X39Y61 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y58 |
INT_L INT_L_X4Y58 TIEOFF TIEOFF[0,0] TIEOFF_X4Y58 |
INT_R INT_R_X5Y58 TIEOFF TIEOFF[0,0] TIEOFF_X5Y58 |
CLBLM_R CLBLM_R_X5Y58 SLICEM SLICE[0,0] SLICE_X6Y58 SLICEL SLICE[1,0] SLICE_X7Y58 |
CLBLM_L CLBLM_L_X6Y58 SLICEM SLICE[0,0] SLICE_X8Y58 SLICEL SLICE[1,0] SLICE_X9Y58 |
INT_L INT_L_X6Y58 TIEOFF TIEOFF[0,0] TIEOFF_X6Y58 |
INT_R INT_R_X7Y58 TIEOFF TIEOFF[0,0] TIEOFF_X7Y58 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y58 |
VBRK VBRK_X50Y61 |
CLBLM_L CLBLM_L_X8Y58 SLICEM SLICE[0,0] SLICE_X10Y58 SLICEL SLICE[1,0] SLICE_X11Y58 |
INT_L INT_L_X8Y58 TIEOFF TIEOFF[0,0] TIEOFF_X9Y58 |
INT_R INT_R_X9Y58 TIEOFF TIEOFF[0,0] TIEOFF_X10Y58 |
CLBLM_R CLBLM_R_X9Y58 SLICEM SLICE[0,0] SLICE_X12Y58 SLICEL SLICE[1,0] SLICE_X13Y58 |
VBRK VBRK_X55Y61 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y61 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y61 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y61 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y61 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y61 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y61 |
VFRAME VFRAME_X68Y61 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y58 |
INT_L INT_L_X10Y58 TIEOFF TIEOFF[0,0] TIEOFF_X11Y58 |
INT_R INT_R_X11Y58 TIEOFF TIEOFF[0,0] TIEOFF_X12Y58 |
CLBLL_R CLBLL_R_X11Y58 SLICEL SLICE[0,0] SLICE_X14Y58 SLICEL SLICE[1,0] SLICE_X15Y58 |
CLBLM_L CLBLM_L_X12Y58 SLICEM SLICE[0,0] SLICE_X16Y58 SLICEL SLICE[1,0] SLICE_X17Y58 |
INT_L INT_L_X12Y58 TIEOFF TIEOFF[0,0] TIEOFF_X13Y58 |
INT_R INT_R_X13Y58 TIEOFF TIEOFF[0,0] TIEOFF_X14Y58 |
CLBLL_R CLBLL_R_X13Y58 SLICEL SLICE[0,0] SLICE_X18Y58 SLICEL SLICE[1,0] SLICE_X19Y58 |
VBRK VBRK_X77Y61 |
CLBLM_L CLBLM_L_X14Y58 SLICEM SLICE[0,0] SLICE_X20Y58 SLICEL SLICE[1,0] SLICE_X21Y58 |
INT_L INT_L_X14Y58 TIEOFF TIEOFF[0,0] TIEOFF_X15Y58 |
INT_R INT_R_X15Y58 TIEOFF TIEOFF[0,0] TIEOFF_X16Y58 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y58 |
CLK_FEED CLK_FEED_X82Y61 |
VBRK VBRK_X83Y61 |
CLBLL_L CLBLL_L_X16Y58 SLICEL SLICE[0,0] SLICE_X22Y58 SLICEL SLICE[1,0] SLICE_X23Y58 |
INT_L INT_L_X16Y58 TIEOFF TIEOFF[0,0] TIEOFF_X17Y58 |
INT_R INT_R_X17Y58 TIEOFF TIEOFF[0,0] TIEOFF_X18Y58 |
CLBLM_R CLBLM_R_X17Y58 SLICEM SLICE[0,0] SLICE_X24Y58 SLICEL SLICE[1,0] SLICE_X25Y58 |
VBRK VBRK_X88Y61 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y58 |
INT_L INT_L_X18Y58 TIEOFF TIEOFF[0,0] TIEOFF_X19Y58 |
INT_R INT_R_X19Y58 TIEOFF TIEOFF[0,0] TIEOFF_X20Y58 |
CLBLL_R CLBLL_R_X19Y58 SLICEL SLICE[0,0] SLICE_X26Y58 SLICEL SLICE[1,0] SLICE_X27Y58 |
CLBLM_L CLBLM_L_X20Y58 SLICEM SLICE[0,0] SLICE_X28Y58 SLICEL SLICE[1,0] SLICE_X29Y58 |
INT_L INT_L_X20Y58 TIEOFF TIEOFF[0,0] TIEOFF_X21Y58 |
INT_R INT_R_X21Y58 TIEOFF TIEOFF[0,0] TIEOFF_X22Y58 |
CLBLM_R CLBLM_R_X21Y58 SLICEM SLICE[0,0] SLICE_X30Y58 SLICEL SLICE[1,0] SLICE_X31Y58 |
VBRK VBRK_X98Y61 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y58 |
INT_L INT_L_X22Y58 TIEOFF TIEOFF[0,0] TIEOFF_X24Y58 |
INT_R INT_R_X23Y58 TIEOFF TIEOFF[0,0] TIEOFF_X25Y58 |
CLBLM_R CLBLM_R_X23Y58 SLICEM SLICE[0,0] SLICE_X32Y58 SLICEL SLICE[1,0] SLICE_X33Y58 |
CLBLM_L CLBLM_L_X24Y58 SLICEM SLICE[0,0] SLICE_X34Y58 SLICEL SLICE[1,0] SLICE_X35Y58 |
INT_L INT_L_X24Y58 TIEOFF TIEOFF[0,0] TIEOFF_X26Y58 |
INT_R INT_R_X25Y58 TIEOFF TIEOFF[0,0] TIEOFF_X27Y58 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y58 |
VBRK VBRK_X109Y61 |
CLBLL_L CLBLL_L_X26Y58 SLICEL SLICE[0,0] SLICE_X36Y58 SLICEL SLICE[1,0] SLICE_X37Y58 |
INT_L INT_L_X26Y58 TIEOFF TIEOFF[0,0] TIEOFF_X28Y58 |
INT_R INT_R_X27Y58 TIEOFF TIEOFF[0,0] TIEOFF_X29Y58 |
CLBLM_R CLBLM_R_X27Y58 SLICEM SLICE[0,0] SLICE_X38Y58 SLICEL SLICE[1,0] SLICE_X39Y58 |
CLBLL_L CLBLL_L_X28Y58 SLICEL SLICE[0,0] SLICE_X40Y58 SLICEL SLICE[1,0] SLICE_X41Y58 |
INT_L INT_L_X28Y58 TIEOFF TIEOFF[0,0] TIEOFF_X30Y58 |
INT_R INT_R_X29Y58 TIEOFF TIEOFF[0,0] TIEOFF_X31Y58 |
CLBLM_R CLBLM_R_X29Y58 SLICEM SLICE[0,0] SLICE_X42Y58 SLICEL SLICE[1,0] SLICE_X43Y58 |
VBRK VBRK_X118Y61 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y58 |
INT_L INT_L_X30Y58 TIEOFF TIEOFF[0,0] TIEOFF_X32Y58 |
INT_R INT_R_X31Y58 TIEOFF TIEOFF[0,0] TIEOFF_X33Y58 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y58 |
R_TERM_INT R_TERM_INT_X125Y61 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y57 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y57 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y58 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y57 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y58 IDELAYE2 IDELAY[0,0] IDELAY_X0Y57 IDELAYE2 IDELAY[0,1] IDELAY_X0Y58 |
RIOB33 RIOB33_X31Y57 IOB33S IOB[0,0] IOB_X0Y57 IOB33M IOB[0,1] IOB_X0Y58 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y57 |
INT_L INT_L_X0Y57 TIEOFF TIEOFF[0,0] TIEOFF_X0Y57 |
INT_R INT_R_X1Y57 TIEOFF TIEOFF[0,0] TIEOFF_X1Y57 |
CLBLM_R CLBLM_R_X1Y57 SLICEM SLICE[0,0] SLICE_X0Y57 SLICEL SLICE[1,0] SLICE_X1Y57 |
CLBLL_L CLBLL_L_X2Y57 SLICEL SLICE[0,0] SLICE_X2Y57 SLICEL SLICE[1,0] SLICE_X3Y57 |
INT_L INT_L_X2Y57 TIEOFF TIEOFF[0,0] TIEOFF_X2Y57 |
INT_R INT_R_X3Y57 TIEOFF TIEOFF[0,0] TIEOFF_X3Y57 |
CLBLM_R CLBLM_R_X3Y57 SLICEM SLICE[0,0] SLICE_X4Y57 SLICEL SLICE[1,0] SLICE_X5Y57 |
VBRK VBRK_X39Y60 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y57 |
INT_L INT_L_X4Y57 TIEOFF TIEOFF[0,0] TIEOFF_X4Y57 |
INT_R INT_R_X5Y57 TIEOFF TIEOFF[0,0] TIEOFF_X5Y57 |
CLBLM_R CLBLM_R_X5Y57 SLICEM SLICE[0,0] SLICE_X6Y57 SLICEL SLICE[1,0] SLICE_X7Y57 |
CLBLM_L CLBLM_L_X6Y57 SLICEM SLICE[0,0] SLICE_X8Y57 SLICEL SLICE[1,0] SLICE_X9Y57 |
INT_L INT_L_X6Y57 TIEOFF TIEOFF[0,0] TIEOFF_X6Y57 |
INT_R INT_R_X7Y57 TIEOFF TIEOFF[0,0] TIEOFF_X7Y57 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y57 |
VBRK VBRK_X50Y60 |
CLBLM_L CLBLM_L_X8Y57 SLICEM SLICE[0,0] SLICE_X10Y57 SLICEL SLICE[1,0] SLICE_X11Y57 |
INT_L INT_L_X8Y57 TIEOFF TIEOFF[0,0] TIEOFF_X9Y57 |
INT_R INT_R_X9Y57 TIEOFF TIEOFF[0,0] TIEOFF_X10Y57 |
CLBLM_R CLBLM_R_X9Y57 SLICEM SLICE[0,0] SLICE_X12Y57 SLICEL SLICE[1,0] SLICE_X13Y57 |
VBRK VBRK_X55Y60 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y60 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y60 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y60 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y60 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y60 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y60 |
VFRAME VFRAME_X68Y60 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y57 |
INT_L INT_L_X10Y57 TIEOFF TIEOFF[0,0] TIEOFF_X11Y57 |
INT_R INT_R_X11Y57 TIEOFF TIEOFF[0,0] TIEOFF_X12Y57 |
CLBLL_R CLBLL_R_X11Y57 SLICEL SLICE[0,0] SLICE_X14Y57 SLICEL SLICE[1,0] SLICE_X15Y57 |
CLBLM_L CLBLM_L_X12Y57 SLICEM SLICE[0,0] SLICE_X16Y57 SLICEL SLICE[1,0] SLICE_X17Y57 |
INT_L INT_L_X12Y57 TIEOFF TIEOFF[0,0] TIEOFF_X13Y57 |
INT_R INT_R_X13Y57 TIEOFF TIEOFF[0,0] TIEOFF_X14Y57 |
CLBLL_R CLBLL_R_X13Y57 SLICEL SLICE[0,0] SLICE_X18Y57 SLICEL SLICE[1,0] SLICE_X19Y57 |
VBRK VBRK_X77Y60 |
CLBLM_L CLBLM_L_X14Y57 SLICEM SLICE[0,0] SLICE_X20Y57 SLICEL SLICE[1,0] SLICE_X21Y57 |
INT_L INT_L_X14Y57 TIEOFF TIEOFF[0,0] TIEOFF_X15Y57 |
INT_R INT_R_X15Y57 TIEOFF TIEOFF[0,0] TIEOFF_X16Y57 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y57 |
CLK_FEED CLK_FEED_X82Y60 |
VBRK VBRK_X83Y60 |
CLBLL_L CLBLL_L_X16Y57 SLICEL SLICE[0,0] SLICE_X22Y57 SLICEL SLICE[1,0] SLICE_X23Y57 |
INT_L INT_L_X16Y57 TIEOFF TIEOFF[0,0] TIEOFF_X17Y57 |
INT_R INT_R_X17Y57 TIEOFF TIEOFF[0,0] TIEOFF_X18Y57 |
CLBLM_R CLBLM_R_X17Y57 SLICEM SLICE[0,0] SLICE_X24Y57 SLICEL SLICE[1,0] SLICE_X25Y57 |
VBRK VBRK_X88Y60 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y57 |
INT_L INT_L_X18Y57 TIEOFF TIEOFF[0,0] TIEOFF_X19Y57 |
INT_R INT_R_X19Y57 TIEOFF TIEOFF[0,0] TIEOFF_X20Y57 |
CLBLL_R CLBLL_R_X19Y57 SLICEL SLICE[0,0] SLICE_X26Y57 SLICEL SLICE[1,0] SLICE_X27Y57 |
CLBLM_L CLBLM_L_X20Y57 SLICEM SLICE[0,0] SLICE_X28Y57 SLICEL SLICE[1,0] SLICE_X29Y57 |
INT_L INT_L_X20Y57 TIEOFF TIEOFF[0,0] TIEOFF_X21Y57 |
INT_R INT_R_X21Y57 TIEOFF TIEOFF[0,0] TIEOFF_X22Y57 |
CLBLM_R CLBLM_R_X21Y57 SLICEM SLICE[0,0] SLICE_X30Y57 SLICEL SLICE[1,0] SLICE_X31Y57 |
VBRK VBRK_X98Y60 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y57 |
INT_L INT_L_X22Y57 TIEOFF TIEOFF[0,0] TIEOFF_X24Y57 |
INT_R INT_R_X23Y57 TIEOFF TIEOFF[0,0] TIEOFF_X25Y57 |
CLBLM_R CLBLM_R_X23Y57 SLICEM SLICE[0,0] SLICE_X32Y57 SLICEL SLICE[1,0] SLICE_X33Y57 |
CLBLM_L CLBLM_L_X24Y57 SLICEM SLICE[0,0] SLICE_X34Y57 SLICEL SLICE[1,0] SLICE_X35Y57 |
INT_L INT_L_X24Y57 TIEOFF TIEOFF[0,0] TIEOFF_X26Y57 |
INT_R INT_R_X25Y57 TIEOFF TIEOFF[0,0] TIEOFF_X27Y57 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y57 |
VBRK VBRK_X109Y60 |
CLBLL_L CLBLL_L_X26Y57 SLICEL SLICE[0,0] SLICE_X36Y57 SLICEL SLICE[1,0] SLICE_X37Y57 |
INT_L INT_L_X26Y57 TIEOFF TIEOFF[0,0] TIEOFF_X28Y57 |
INT_R INT_R_X27Y57 TIEOFF TIEOFF[0,0] TIEOFF_X29Y57 |
CLBLM_R CLBLM_R_X27Y57 SLICEM SLICE[0,0] SLICE_X38Y57 SLICEL SLICE[1,0] SLICE_X39Y57 |
CLBLL_L CLBLL_L_X28Y57 SLICEL SLICE[0,0] SLICE_X40Y57 SLICEL SLICE[1,0] SLICE_X41Y57 |
INT_L INT_L_X28Y57 TIEOFF TIEOFF[0,0] TIEOFF_X30Y57 |
INT_R INT_R_X29Y57 TIEOFF TIEOFF[0,0] TIEOFF_X31Y57 |
CLBLM_R CLBLM_R_X29Y57 SLICEM SLICE[0,0] SLICE_X42Y57 SLICEL SLICE[1,0] SLICE_X43Y57 |
VBRK VBRK_X118Y60 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y57 |
INT_L INT_L_X30Y57 TIEOFF TIEOFF[0,0] TIEOFF_X32Y57 |
INT_R INT_R_X31Y57 TIEOFF TIEOFF[0,0] TIEOFF_X33Y57 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y57 |
R_TERM_INT R_TERM_INT_X125Y60 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y56 |
INT_L INT_L_X0Y56 TIEOFF TIEOFF[0,0] TIEOFF_X0Y56 |
INT_R INT_R_X1Y56 TIEOFF TIEOFF[0,0] TIEOFF_X1Y56 |
CLBLM_R CLBLM_R_X1Y56 SLICEM SLICE[0,0] SLICE_X0Y56 SLICEL SLICE[1,0] SLICE_X1Y56 |
CLBLL_L CLBLL_L_X2Y56 SLICEL SLICE[0,0] SLICE_X2Y56 SLICEL SLICE[1,0] SLICE_X3Y56 |
INT_L INT_L_X2Y56 TIEOFF TIEOFF[0,0] TIEOFF_X2Y56 |
INT_R INT_R_X3Y56 TIEOFF TIEOFF[0,0] TIEOFF_X3Y56 |
CLBLM_R CLBLM_R_X3Y56 SLICEM SLICE[0,0] SLICE_X4Y56 SLICEL SLICE[1,0] SLICE_X5Y56 |
VBRK VBRK_X39Y59 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y56 |
INT_L INT_L_X4Y56 TIEOFF TIEOFF[0,0] TIEOFF_X4Y56 |
INT_R INT_R_X5Y56 TIEOFF TIEOFF[0,0] TIEOFF_X5Y56 |
CLBLM_R CLBLM_R_X5Y56 SLICEM SLICE[0,0] SLICE_X6Y56 SLICEL SLICE[1,0] SLICE_X7Y56 |
CLBLM_L CLBLM_L_X6Y56 SLICEM SLICE[0,0] SLICE_X8Y56 SLICEL SLICE[1,0] SLICE_X9Y56 |
INT_L INT_L_X6Y56 TIEOFF TIEOFF[0,0] TIEOFF_X6Y56 |
INT_R INT_R_X7Y56 TIEOFF TIEOFF[0,0] TIEOFF_X7Y56 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y56 |
VBRK VBRK_X50Y59 |
CLBLM_L CLBLM_L_X8Y56 SLICEM SLICE[0,0] SLICE_X10Y56 SLICEL SLICE[1,0] SLICE_X11Y56 |
INT_L INT_L_X8Y56 TIEOFF TIEOFF[0,0] TIEOFF_X9Y56 |
INT_R INT_R_X9Y56 TIEOFF TIEOFF[0,0] TIEOFF_X10Y56 |
CLBLM_R CLBLM_R_X9Y56 SLICEM SLICE[0,0] SLICE_X12Y56 SLICEL SLICE[1,0] SLICE_X13Y56 |
VBRK VBRK_X55Y59 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y59 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y59 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y59 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y59 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y59 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y59 |
VFRAME VFRAME_X68Y59 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y56 |
INT_L INT_L_X10Y56 TIEOFF TIEOFF[0,0] TIEOFF_X11Y56 |
INT_R INT_R_X11Y56 TIEOFF TIEOFF[0,0] TIEOFF_X12Y56 |
CLBLL_R CLBLL_R_X11Y56 SLICEL SLICE[0,0] SLICE_X14Y56 SLICEL SLICE[1,0] SLICE_X15Y56 |
CLBLM_L CLBLM_L_X12Y56 SLICEM SLICE[0,0] SLICE_X16Y56 SLICEL SLICE[1,0] SLICE_X17Y56 |
INT_L INT_L_X12Y56 TIEOFF TIEOFF[0,0] TIEOFF_X13Y56 |
INT_R INT_R_X13Y56 TIEOFF TIEOFF[0,0] TIEOFF_X14Y56 |
CLBLL_R CLBLL_R_X13Y56 SLICEL SLICE[0,0] SLICE_X18Y56 SLICEL SLICE[1,0] SLICE_X19Y56 |
VBRK VBRK_X77Y59 |
CLBLM_L CLBLM_L_X14Y56 SLICEM SLICE[0,0] SLICE_X20Y56 SLICEL SLICE[1,0] SLICE_X21Y56 |
INT_L INT_L_X14Y56 TIEOFF TIEOFF[0,0] TIEOFF_X15Y56 |
INT_R INT_R_X15Y56 TIEOFF TIEOFF[0,0] TIEOFF_X16Y56 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y56 |
CLK_FEED CLK_FEED_X82Y59 |
VBRK VBRK_X83Y59 |
CLBLL_L CLBLL_L_X16Y56 SLICEL SLICE[0,0] SLICE_X22Y56 SLICEL SLICE[1,0] SLICE_X23Y56 |
INT_L INT_L_X16Y56 TIEOFF TIEOFF[0,0] TIEOFF_X17Y56 |
INT_R INT_R_X17Y56 TIEOFF TIEOFF[0,0] TIEOFF_X18Y56 |
CLBLM_R CLBLM_R_X17Y56 SLICEM SLICE[0,0] SLICE_X24Y56 SLICEL SLICE[1,0] SLICE_X25Y56 |
VBRK VBRK_X88Y59 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y56 |
INT_L INT_L_X18Y56 TIEOFF TIEOFF[0,0] TIEOFF_X19Y56 |
INT_R INT_R_X19Y56 TIEOFF TIEOFF[0,0] TIEOFF_X20Y56 |
CLBLL_R CLBLL_R_X19Y56 SLICEL SLICE[0,0] SLICE_X26Y56 SLICEL SLICE[1,0] SLICE_X27Y56 |
CLBLM_L CLBLM_L_X20Y56 SLICEM SLICE[0,0] SLICE_X28Y56 SLICEL SLICE[1,0] SLICE_X29Y56 |
INT_L INT_L_X20Y56 TIEOFF TIEOFF[0,0] TIEOFF_X21Y56 |
INT_R INT_R_X21Y56 TIEOFF TIEOFF[0,0] TIEOFF_X22Y56 |
CLBLM_R CLBLM_R_X21Y56 SLICEM SLICE[0,0] SLICE_X30Y56 SLICEL SLICE[1,0] SLICE_X31Y56 |
VBRK VBRK_X98Y59 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y56 |
INT_L INT_L_X22Y56 TIEOFF TIEOFF[0,0] TIEOFF_X24Y56 |
INT_R INT_R_X23Y56 TIEOFF TIEOFF[0,0] TIEOFF_X25Y56 |
CLBLM_R CLBLM_R_X23Y56 SLICEM SLICE[0,0] SLICE_X32Y56 SLICEL SLICE[1,0] SLICE_X33Y56 |
CLBLM_L CLBLM_L_X24Y56 SLICEM SLICE[0,0] SLICE_X34Y56 SLICEL SLICE[1,0] SLICE_X35Y56 |
INT_L INT_L_X24Y56 TIEOFF TIEOFF[0,0] TIEOFF_X26Y56 |
INT_R INT_R_X25Y56 TIEOFF TIEOFF[0,0] TIEOFF_X27Y56 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y56 |
VBRK VBRK_X109Y59 |
CLBLL_L CLBLL_L_X26Y56 SLICEL SLICE[0,0] SLICE_X36Y56 SLICEL SLICE[1,0] SLICE_X37Y56 |
INT_L INT_L_X26Y56 TIEOFF TIEOFF[0,0] TIEOFF_X28Y56 |
INT_R INT_R_X27Y56 TIEOFF TIEOFF[0,0] TIEOFF_X29Y56 |
CLBLM_R CLBLM_R_X27Y56 SLICEM SLICE[0,0] SLICE_X38Y56 SLICEL SLICE[1,0] SLICE_X39Y56 |
CLBLL_L CLBLL_L_X28Y56 SLICEL SLICE[0,0] SLICE_X40Y56 SLICEL SLICE[1,0] SLICE_X41Y56 |
INT_L INT_L_X28Y56 TIEOFF TIEOFF[0,0] TIEOFF_X30Y56 |
INT_R INT_R_X29Y56 TIEOFF TIEOFF[0,0] TIEOFF_X31Y56 |
CLBLM_R CLBLM_R_X29Y56 SLICEM SLICE[0,0] SLICE_X42Y56 SLICEL SLICE[1,0] SLICE_X43Y56 |
VBRK VBRK_X118Y59 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y56 |
INT_L INT_L_X30Y56 TIEOFF TIEOFF[0,0] TIEOFF_X32Y56 |
INT_R INT_R_X31Y56 TIEOFF TIEOFF[0,0] TIEOFF_X33Y56 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y56 |
R_TERM_INT R_TERM_INT_X125Y59 |
RIOI3 RIOI3_X31Y55 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y55 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y56 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y55 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y56 IDELAYE2 IDELAY[0,0] IDELAY_X0Y55 IDELAYE2 IDELAY[0,1] IDELAY_X0Y56 |
RIOB33 RIOB33_X31Y55 IOB33S IOB[0,0] IOB_X0Y55 IOB33M IOB[0,1] IOB_X0Y56 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y55 |
INT_L INT_L_X0Y55 TIEOFF TIEOFF[0,0] TIEOFF_X0Y55 |
INT_R INT_R_X1Y55 TIEOFF TIEOFF[0,0] TIEOFF_X1Y55 |
CLBLM_R CLBLM_R_X1Y55 SLICEM SLICE[0,0] SLICE_X0Y55 SLICEL SLICE[1,0] SLICE_X1Y55 |
CLBLL_L CLBLL_L_X2Y55 SLICEL SLICE[0,0] SLICE_X2Y55 SLICEL SLICE[1,0] SLICE_X3Y55 |
INT_L INT_L_X2Y55 TIEOFF TIEOFF[0,0] TIEOFF_X2Y55 |
INT_R INT_R_X3Y55 TIEOFF TIEOFF[0,0] TIEOFF_X3Y55 |
CLBLM_R CLBLM_R_X3Y55 SLICEM SLICE[0,0] SLICE_X4Y55 SLICEL SLICE[1,0] SLICE_X5Y55 |
VBRK VBRK_X39Y58 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y55 |
INT_L INT_L_X4Y55 TIEOFF TIEOFF[0,0] TIEOFF_X4Y55 |
INT_R INT_R_X5Y55 TIEOFF TIEOFF[0,0] TIEOFF_X5Y55 |
CLBLM_R CLBLM_R_X5Y55 SLICEM SLICE[0,0] SLICE_X6Y55 SLICEL SLICE[1,0] SLICE_X7Y55 |
CLBLM_L CLBLM_L_X6Y55 SLICEM SLICE[0,0] SLICE_X8Y55 SLICEL SLICE[1,0] SLICE_X9Y55 |
INT_L INT_L_X6Y55 TIEOFF TIEOFF[0,0] TIEOFF_X6Y55 |
INT_R INT_R_X7Y55 TIEOFF TIEOFF[0,0] TIEOFF_X7Y55 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y55 |
VBRK VBRK_X50Y58 |
CLBLM_L CLBLM_L_X8Y55 SLICEM SLICE[0,0] SLICE_X10Y55 SLICEL SLICE[1,0] SLICE_X11Y55 |
INT_L INT_L_X8Y55 TIEOFF TIEOFF[0,0] TIEOFF_X9Y55 |
INT_R INT_R_X9Y55 TIEOFF TIEOFF[0,0] TIEOFF_X10Y55 |
CLBLM_R CLBLM_R_X9Y55 SLICEM SLICE[0,0] SLICE_X12Y55 SLICEL SLICE[1,0] SLICE_X13Y55 |
VBRK VBRK_X55Y58 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y58 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y58 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y58 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y58 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y58 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y58 |
VFRAME VFRAME_X68Y58 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y55 |
INT_L INT_L_X10Y55 TIEOFF TIEOFF[0,0] TIEOFF_X11Y55 |
INT_R INT_R_X11Y55 TIEOFF TIEOFF[0,0] TIEOFF_X12Y55 |
CLBLL_R CLBLL_R_X11Y55 SLICEL SLICE[0,0] SLICE_X14Y55 SLICEL SLICE[1,0] SLICE_X15Y55 |
CLBLM_L CLBLM_L_X12Y55 SLICEM SLICE[0,0] SLICE_X16Y55 SLICEL SLICE[1,0] SLICE_X17Y55 |
INT_L INT_L_X12Y55 TIEOFF TIEOFF[0,0] TIEOFF_X13Y55 |
INT_R INT_R_X13Y55 TIEOFF TIEOFF[0,0] TIEOFF_X14Y55 |
CLBLL_R CLBLL_R_X13Y55 SLICEL SLICE[0,0] SLICE_X18Y55 SLICEL SLICE[1,0] SLICE_X19Y55 |
VBRK VBRK_X77Y58 |
CLBLM_L CLBLM_L_X14Y55 SLICEM SLICE[0,0] SLICE_X20Y55 SLICEL SLICE[1,0] SLICE_X21Y55 |
INT_L INT_L_X14Y55 TIEOFF TIEOFF[0,0] TIEOFF_X15Y55 |
INT_R INT_R_X15Y55 TIEOFF TIEOFF[0,0] TIEOFF_X16Y55 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y55 |
CLK_FEED CLK_FEED_X82Y58 |
VBRK VBRK_X83Y58 |
CLBLL_L CLBLL_L_X16Y55 SLICEL SLICE[0,0] SLICE_X22Y55 SLICEL SLICE[1,0] SLICE_X23Y55 |
INT_L INT_L_X16Y55 TIEOFF TIEOFF[0,0] TIEOFF_X17Y55 |
INT_R INT_R_X17Y55 TIEOFF TIEOFF[0,0] TIEOFF_X18Y55 |
CLBLM_R CLBLM_R_X17Y55 SLICEM SLICE[0,0] SLICE_X24Y55 SLICEL SLICE[1,0] SLICE_X25Y55 |
VBRK VBRK_X88Y58 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y55 |
INT_L INT_L_X18Y55 TIEOFF TIEOFF[0,0] TIEOFF_X19Y55 |
INT_R INT_R_X19Y55 TIEOFF TIEOFF[0,0] TIEOFF_X20Y55 |
CLBLL_R CLBLL_R_X19Y55 SLICEL SLICE[0,0] SLICE_X26Y55 SLICEL SLICE[1,0] SLICE_X27Y55 |
CLBLM_L CLBLM_L_X20Y55 SLICEM SLICE[0,0] SLICE_X28Y55 SLICEL SLICE[1,0] SLICE_X29Y55 |
INT_L INT_L_X20Y55 TIEOFF TIEOFF[0,0] TIEOFF_X21Y55 |
INT_R INT_R_X21Y55 TIEOFF TIEOFF[0,0] TIEOFF_X22Y55 |
CLBLM_R CLBLM_R_X21Y55 SLICEM SLICE[0,0] SLICE_X30Y55 SLICEL SLICE[1,0] SLICE_X31Y55 |
VBRK VBRK_X98Y58 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y55 |
INT_L INT_L_X22Y55 TIEOFF TIEOFF[0,0] TIEOFF_X24Y55 |
INT_R INT_R_X23Y55 TIEOFF TIEOFF[0,0] TIEOFF_X25Y55 |
CLBLM_R CLBLM_R_X23Y55 SLICEM SLICE[0,0] SLICE_X32Y55 SLICEL SLICE[1,0] SLICE_X33Y55 |
CLBLM_L CLBLM_L_X24Y55 SLICEM SLICE[0,0] SLICE_X34Y55 SLICEL SLICE[1,0] SLICE_X35Y55 |
INT_L INT_L_X24Y55 TIEOFF TIEOFF[0,0] TIEOFF_X26Y55 |
INT_R INT_R_X25Y55 TIEOFF TIEOFF[0,0] TIEOFF_X27Y55 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y55 |
VBRK VBRK_X109Y58 |
CLBLL_L CLBLL_L_X26Y55 SLICEL SLICE[0,0] SLICE_X36Y55 SLICEL SLICE[1,0] SLICE_X37Y55 |
INT_L INT_L_X26Y55 TIEOFF TIEOFF[0,0] TIEOFF_X28Y55 |
INT_R INT_R_X27Y55 TIEOFF TIEOFF[0,0] TIEOFF_X29Y55 |
CLBLM_R CLBLM_R_X27Y55 SLICEM SLICE[0,0] SLICE_X38Y55 SLICEL SLICE[1,0] SLICE_X39Y55 |
CLBLL_L CLBLL_L_X28Y55 SLICEL SLICE[0,0] SLICE_X40Y55 SLICEL SLICE[1,0] SLICE_X41Y55 |
INT_L INT_L_X28Y55 TIEOFF TIEOFF[0,0] TIEOFF_X30Y55 |
INT_R INT_R_X29Y55 TIEOFF TIEOFF[0,0] TIEOFF_X31Y55 |
CLBLM_R CLBLM_R_X29Y55 SLICEM SLICE[0,0] SLICE_X42Y55 SLICEL SLICE[1,0] SLICE_X43Y55 |
VBRK VBRK_X118Y58 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y55 |
INT_L INT_L_X30Y55 TIEOFF TIEOFF[0,0] TIEOFF_X32Y55 |
INT_R INT_R_X31Y55 TIEOFF TIEOFF[0,0] TIEOFF_X33Y55 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y55 |
R_TERM_INT R_TERM_INT_X125Y58 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y54 |
INT_L INT_L_X0Y54 TIEOFF TIEOFF[0,0] TIEOFF_X0Y54 |
INT_R INT_R_X1Y54 TIEOFF TIEOFF[0,0] TIEOFF_X1Y54 |
CLBLM_R CLBLM_R_X1Y54 SLICEM SLICE[0,0] SLICE_X0Y54 SLICEL SLICE[1,0] SLICE_X1Y54 |
CLBLL_L CLBLL_L_X2Y54 SLICEL SLICE[0,0] SLICE_X2Y54 SLICEL SLICE[1,0] SLICE_X3Y54 |
INT_L INT_L_X2Y54 TIEOFF TIEOFF[0,0] TIEOFF_X2Y54 |
INT_R INT_R_X3Y54 TIEOFF TIEOFF[0,0] TIEOFF_X3Y54 |
CLBLM_R CLBLM_R_X3Y54 SLICEM SLICE[0,0] SLICE_X4Y54 SLICEL SLICE[1,0] SLICE_X5Y54 |
VBRK VBRK_X39Y57 |
BRAM_L BRAM_L_X4Y50 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y10 FIFO18E1 RAMB18[0,0] RAMB18_X0Y20 RAMB18E1 RAMB18[0,1] RAMB18_X0Y21 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y54 |
INT_L INT_L_X4Y54 TIEOFF TIEOFF[0,0] TIEOFF_X4Y54 |
INT_R INT_R_X5Y54 TIEOFF TIEOFF[0,0] TIEOFF_X5Y54 |
CLBLM_R CLBLM_R_X5Y54 SLICEM SLICE[0,0] SLICE_X6Y54 SLICEL SLICE[1,0] SLICE_X7Y54 |
CLBLM_L CLBLM_L_X6Y54 SLICEM SLICE[0,0] SLICE_X8Y54 SLICEL SLICE[1,0] SLICE_X9Y54 |
INT_L INT_L_X6Y54 TIEOFF TIEOFF[0,0] TIEOFF_X6Y54 |
INT_R INT_R_X7Y54 TIEOFF TIEOFF[0,0] TIEOFF_X7Y54 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y54 |
DSP_R DSP_R_X7Y50 TIEOFF TIEOFF[0,0] TIEOFF_X8Y50 DSP48E1 DSP48[0,0] DSP48_X0Y20 DSP48E1 DSP48[0,1] DSP48_X0Y21 |
VBRK VBRK_X50Y57 |
CLBLM_L CLBLM_L_X8Y54 SLICEM SLICE[0,0] SLICE_X10Y54 SLICEL SLICE[1,0] SLICE_X11Y54 |
INT_L INT_L_X8Y54 TIEOFF TIEOFF[0,0] TIEOFF_X9Y54 |
INT_R INT_R_X9Y54 TIEOFF TIEOFF[0,0] TIEOFF_X10Y54 |
CLBLM_R CLBLM_R_X9Y54 SLICEM SLICE[0,0] SLICE_X12Y54 SLICEL SLICE[1,0] SLICE_X13Y54 |
VBRK VBRK_X55Y57 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y57 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y57 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y57 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y57 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y57 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y57 |
VFRAME VFRAME_X68Y57 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y54 |
INT_L INT_L_X10Y54 TIEOFF TIEOFF[0,0] TIEOFF_X11Y54 |
INT_R INT_R_X11Y54 TIEOFF TIEOFF[0,0] TIEOFF_X12Y54 |
CLBLL_R CLBLL_R_X11Y54 SLICEL SLICE[0,0] SLICE_X14Y54 SLICEL SLICE[1,0] SLICE_X15Y54 |
CLBLM_L CLBLM_L_X12Y54 SLICEM SLICE[0,0] SLICE_X16Y54 SLICEL SLICE[1,0] SLICE_X17Y54 |
INT_L INT_L_X12Y54 TIEOFF TIEOFF[0,0] TIEOFF_X13Y54 |
INT_R INT_R_X13Y54 TIEOFF TIEOFF[0,0] TIEOFF_X14Y54 |
CLBLL_R CLBLL_R_X13Y54 SLICEL SLICE[0,0] SLICE_X18Y54 SLICEL SLICE[1,0] SLICE_X19Y54 |
VBRK VBRK_X77Y57 |
CLBLM_L CLBLM_L_X14Y54 SLICEM SLICE[0,0] SLICE_X20Y54 SLICEL SLICE[1,0] SLICE_X21Y54 |
INT_L INT_L_X14Y54 TIEOFF TIEOFF[0,0] TIEOFF_X15Y54 |
INT_R INT_R_X15Y54 TIEOFF TIEOFF[0,0] TIEOFF_X16Y54 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y54 |
CLK_FEED CLK_FEED_X82Y57 |
VBRK VBRK_X83Y57 |
CLBLL_L CLBLL_L_X16Y54 SLICEL SLICE[0,0] SLICE_X22Y54 SLICEL SLICE[1,0] SLICE_X23Y54 |
INT_L INT_L_X16Y54 TIEOFF TIEOFF[0,0] TIEOFF_X17Y54 |
INT_R INT_R_X17Y54 TIEOFF TIEOFF[0,0] TIEOFF_X18Y54 |
CLBLM_R CLBLM_R_X17Y54 SLICEM SLICE[0,0] SLICE_X24Y54 SLICEL SLICE[1,0] SLICE_X25Y54 |
VBRK VBRK_X88Y57 |
BRAM_L BRAM_L_X18Y50 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y10 FIFO18E1 RAMB18[0,0] RAMB18_X1Y20 RAMB18E1 RAMB18[0,1] RAMB18_X1Y21 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y54 |
INT_L INT_L_X18Y54 TIEOFF TIEOFF[0,0] TIEOFF_X19Y54 |
INT_R INT_R_X19Y54 TIEOFF TIEOFF[0,0] TIEOFF_X20Y54 |
CLBLL_R CLBLL_R_X19Y54 SLICEL SLICE[0,0] SLICE_X26Y54 SLICEL SLICE[1,0] SLICE_X27Y54 |
CLBLM_L CLBLM_L_X20Y54 SLICEM SLICE[0,0] SLICE_X28Y54 SLICEL SLICE[1,0] SLICE_X29Y54 |
INT_L INT_L_X20Y54 TIEOFF TIEOFF[0,0] TIEOFF_X21Y54 |
INT_R INT_R_X21Y54 TIEOFF TIEOFF[0,0] TIEOFF_X22Y54 |
CLBLM_R CLBLM_R_X21Y54 SLICEM SLICE[0,0] SLICE_X30Y54 SLICEL SLICE[1,0] SLICE_X31Y54 |
VBRK VBRK_X98Y57 |
DSP_L DSP_L_X22Y50 TIEOFF TIEOFF[0,0] TIEOFF_X23Y50 DSP48E1 DSP48[0,0] DSP48_X1Y20 DSP48E1 DSP48[0,1] DSP48_X1Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y54 |
INT_L INT_L_X22Y54 TIEOFF TIEOFF[0,0] TIEOFF_X24Y54 |
INT_R INT_R_X23Y54 TIEOFF TIEOFF[0,0] TIEOFF_X25Y54 |
CLBLM_R CLBLM_R_X23Y54 SLICEM SLICE[0,0] SLICE_X32Y54 SLICEL SLICE[1,0] SLICE_X33Y54 |
CLBLM_L CLBLM_L_X24Y54 SLICEM SLICE[0,0] SLICE_X34Y54 SLICEL SLICE[1,0] SLICE_X35Y54 |
INT_L INT_L_X24Y54 TIEOFF TIEOFF[0,0] TIEOFF_X26Y54 |
INT_R INT_R_X25Y54 TIEOFF TIEOFF[0,0] TIEOFF_X27Y54 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y54 |
BRAM_R BRAM_R_X25Y50 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y10 FIFO18E1 RAMB18[0,0] RAMB18_X2Y20 RAMB18E1 RAMB18[0,1] RAMB18_X2Y21 |
VBRK VBRK_X109Y57 |
CLBLL_L CLBLL_L_X26Y54 SLICEL SLICE[0,0] SLICE_X36Y54 SLICEL SLICE[1,0] SLICE_X37Y54 |
INT_L INT_L_X26Y54 TIEOFF TIEOFF[0,0] TIEOFF_X28Y54 |
INT_R INT_R_X27Y54 TIEOFF TIEOFF[0,0] TIEOFF_X29Y54 |
CLBLM_R CLBLM_R_X27Y54 SLICEM SLICE[0,0] SLICE_X38Y54 SLICEL SLICE[1,0] SLICE_X39Y54 |
CLBLL_L CLBLL_L_X28Y54 SLICEL SLICE[0,0] SLICE_X40Y54 SLICEL SLICE[1,0] SLICE_X41Y54 |
INT_L INT_L_X28Y54 TIEOFF TIEOFF[0,0] TIEOFF_X30Y54 |
INT_R INT_R_X29Y54 TIEOFF TIEOFF[0,0] TIEOFF_X31Y54 |
CLBLM_R CLBLM_R_X29Y54 SLICEM SLICE[0,0] SLICE_X42Y54 SLICEL SLICE[1,0] SLICE_X43Y54 |
VBRK VBRK_X118Y57 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y54 |
INT_L INT_L_X30Y54 TIEOFF TIEOFF[0,0] TIEOFF_X32Y54 |
INT_R INT_R_X31Y54 TIEOFF TIEOFF[0,0] TIEOFF_X33Y54 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y54 |
R_TERM_INT R_TERM_INT_X125Y57 |
RIOI3 RIOI3_X31Y53 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y53 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y54 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y53 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y54 IDELAYE2 IDELAY[0,0] IDELAY_X0Y53 IDELAYE2 IDELAY[0,1] IDELAY_X0Y54 |
RIOB33 RIOB33_X31Y53 IOB33S IOB[0,0] IOB_X0Y53 IOB33M IOB[0,1] IOB_X0Y54 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y53 |
INT_L INT_L_X0Y53 TIEOFF TIEOFF[0,0] TIEOFF_X0Y53 |
INT_R INT_R_X1Y53 TIEOFF TIEOFF[0,0] TIEOFF_X1Y53 |
CLBLM_R CLBLM_R_X1Y53 SLICEM SLICE[0,0] SLICE_X0Y53 SLICEL SLICE[1,0] SLICE_X1Y53 |
CLBLL_L CLBLL_L_X2Y53 SLICEL SLICE[0,0] SLICE_X2Y53 SLICEL SLICE[1,0] SLICE_X3Y53 |
INT_L INT_L_X2Y53 TIEOFF TIEOFF[0,0] TIEOFF_X2Y53 |
INT_R INT_R_X3Y53 TIEOFF TIEOFF[0,0] TIEOFF_X3Y53 |
CLBLM_R CLBLM_R_X3Y53 SLICEM SLICE[0,0] SLICE_X4Y53 SLICEL SLICE[1,0] SLICE_X5Y53 |
VBRK VBRK_X39Y56 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y53 |
INT_L INT_L_X4Y53 TIEOFF TIEOFF[0,0] TIEOFF_X4Y53 |
INT_R INT_R_X5Y53 TIEOFF TIEOFF[0,0] TIEOFF_X5Y53 |
CLBLM_R CLBLM_R_X5Y53 SLICEM SLICE[0,0] SLICE_X6Y53 SLICEL SLICE[1,0] SLICE_X7Y53 |
CLBLM_L CLBLM_L_X6Y53 SLICEM SLICE[0,0] SLICE_X8Y53 SLICEL SLICE[1,0] SLICE_X9Y53 |
INT_L INT_L_X6Y53 TIEOFF TIEOFF[0,0] TIEOFF_X6Y53 |
INT_R INT_R_X7Y53 TIEOFF TIEOFF[0,0] TIEOFF_X7Y53 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y53 |
VBRK VBRK_X50Y56 |
CLBLM_L CLBLM_L_X8Y53 SLICEM SLICE[0,0] SLICE_X10Y53 SLICEL SLICE[1,0] SLICE_X11Y53 |
INT_L INT_L_X8Y53 TIEOFF TIEOFF[0,0] TIEOFF_X9Y53 |
INT_R INT_R_X9Y53 TIEOFF TIEOFF[0,0] TIEOFF_X10Y53 |
CLBLM_R CLBLM_R_X9Y53 SLICEM SLICE[0,0] SLICE_X12Y53 SLICEL SLICE[1,0] SLICE_X13Y53 |
VBRK VBRK_X55Y56 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y56 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y56 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y56 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y56 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y56 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y56 |
VFRAME VFRAME_X68Y56 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y53 |
INT_L INT_L_X10Y53 TIEOFF TIEOFF[0,0] TIEOFF_X11Y53 |
INT_R INT_R_X11Y53 TIEOFF TIEOFF[0,0] TIEOFF_X12Y53 |
CLBLL_R CLBLL_R_X11Y53 SLICEL SLICE[0,0] SLICE_X14Y53 SLICEL SLICE[1,0] SLICE_X15Y53 |
CLBLM_L CLBLM_L_X12Y53 SLICEM SLICE[0,0] SLICE_X16Y53 SLICEL SLICE[1,0] SLICE_X17Y53 |
INT_L INT_L_X12Y53 TIEOFF TIEOFF[0,0] TIEOFF_X13Y53 |
INT_R INT_R_X13Y53 TIEOFF TIEOFF[0,0] TIEOFF_X14Y53 |
CLBLL_R CLBLL_R_X13Y53 SLICEL SLICE[0,0] SLICE_X18Y53 SLICEL SLICE[1,0] SLICE_X19Y53 |
VBRK VBRK_X77Y56 |
CLBLM_L CLBLM_L_X14Y53 SLICEM SLICE[0,0] SLICE_X20Y53 SLICEL SLICE[1,0] SLICE_X21Y53 |
INT_L INT_L_X14Y53 TIEOFF TIEOFF[0,0] TIEOFF_X15Y53 |
INT_R INT_R_X15Y53 TIEOFF TIEOFF[0,0] TIEOFF_X16Y53 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y53 |
CLK_BUFG_TOP_R CLK_BUFG_TOP_R_X82Y53 BUFGCTRL BUFGCTRL[0,0] BUFGCTRL_X0Y16 BUFGCTRL BUFGCTRL[0,1] BUFGCTRL_X0Y17 BUFGCTRL BUFGCTRL[0,2] BUFGCTRL_X0Y18 BUFGCTRL BUFGCTRL[0,3] BUFGCTRL_X0Y19 BUFGCTRL BUFGCTRL[0,4] BUFGCTRL_X0Y20 BUFGCTRL BUFGCTRL[0,5] BUFGCTRL_X0Y21 BUFGCTRL BUFGCTRL[0,6] BUFGCTRL_X0Y22 BUFGCTRL BUFGCTRL[0,7] BUFGCTRL_X0Y23 BUFGCTRL BUFGCTRL[0,8] BUFGCTRL_X0Y24 BUFGCTRL BUFGCTRL[0,9] BUFGCTRL_X0Y25 BUFGCTRL BUFGCTRL[0,10] BUFGCTRL_X0Y26 BUFGCTRL BUFGCTRL[0,11] BUFGCTRL_X0Y27 BUFGCTRL BUFGCTRL[0,12] BUFGCTRL_X0Y28 BUFGCTRL BUFGCTRL[0,13] BUFGCTRL_X0Y29 BUFGCTRL BUFGCTRL[0,14] BUFGCTRL_X0Y30 BUFGCTRL BUFGCTRL[0,15] BUFGCTRL_X0Y31 |
VBRK VBRK_X83Y56 |
CLBLL_L CLBLL_L_X16Y53 SLICEL SLICE[0,0] SLICE_X22Y53 SLICEL SLICE[1,0] SLICE_X23Y53 |
INT_L INT_L_X16Y53 TIEOFF TIEOFF[0,0] TIEOFF_X17Y53 |
INT_R INT_R_X17Y53 TIEOFF TIEOFF[0,0] TIEOFF_X18Y53 |
CLBLM_R CLBLM_R_X17Y53 SLICEM SLICE[0,0] SLICE_X24Y53 SLICEL SLICE[1,0] SLICE_X25Y53 |
VBRK VBRK_X88Y56 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y53 |
INT_L INT_L_X18Y53 TIEOFF TIEOFF[0,0] TIEOFF_X19Y53 |
INT_R INT_R_X19Y53 TIEOFF TIEOFF[0,0] TIEOFF_X20Y53 |
CLBLL_R CLBLL_R_X19Y53 SLICEL SLICE[0,0] SLICE_X26Y53 SLICEL SLICE[1,0] SLICE_X27Y53 |
CLBLM_L CLBLM_L_X20Y53 SLICEM SLICE[0,0] SLICE_X28Y53 SLICEL SLICE[1,0] SLICE_X29Y53 |
INT_L INT_L_X20Y53 TIEOFF TIEOFF[0,0] TIEOFF_X21Y53 |
INT_R INT_R_X21Y53 TIEOFF TIEOFF[0,0] TIEOFF_X22Y53 |
CLBLM_R CLBLM_R_X21Y53 SLICEM SLICE[0,0] SLICE_X30Y53 SLICEL SLICE[1,0] SLICE_X31Y53 |
VBRK VBRK_X98Y56 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y53 |
INT_L INT_L_X22Y53 TIEOFF TIEOFF[0,0] TIEOFF_X24Y53 |
INT_R INT_R_X23Y53 TIEOFF TIEOFF[0,0] TIEOFF_X25Y53 |
CLBLM_R CLBLM_R_X23Y53 SLICEM SLICE[0,0] SLICE_X32Y53 SLICEL SLICE[1,0] SLICE_X33Y53 |
CLBLM_L CLBLM_L_X24Y53 SLICEM SLICE[0,0] SLICE_X34Y53 SLICEL SLICE[1,0] SLICE_X35Y53 |
INT_L INT_L_X24Y53 TIEOFF TIEOFF[0,0] TIEOFF_X26Y53 |
INT_R INT_R_X25Y53 TIEOFF TIEOFF[0,0] TIEOFF_X27Y53 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y53 |
VBRK VBRK_X109Y56 |
CLBLL_L CLBLL_L_X26Y53 SLICEL SLICE[0,0] SLICE_X36Y53 SLICEL SLICE[1,0] SLICE_X37Y53 |
INT_L INT_L_X26Y53 TIEOFF TIEOFF[0,0] TIEOFF_X28Y53 |
INT_R INT_R_X27Y53 TIEOFF TIEOFF[0,0] TIEOFF_X29Y53 |
CLBLM_R CLBLM_R_X27Y53 SLICEM SLICE[0,0] SLICE_X38Y53 SLICEL SLICE[1,0] SLICE_X39Y53 |
CLBLL_L CLBLL_L_X28Y53 SLICEL SLICE[0,0] SLICE_X40Y53 SLICEL SLICE[1,0] SLICE_X41Y53 |
INT_L INT_L_X28Y53 TIEOFF TIEOFF[0,0] TIEOFF_X30Y53 |
INT_R INT_R_X29Y53 TIEOFF TIEOFF[0,0] TIEOFF_X31Y53 |
CLBLM_R CLBLM_R_X29Y53 SLICEM SLICE[0,0] SLICE_X42Y53 SLICEL SLICE[1,0] SLICE_X43Y53 |
VBRK VBRK_X118Y56 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y53 |
INT_L INT_L_X30Y53 TIEOFF TIEOFF[0,0] TIEOFF_X32Y53 |
INT_R INT_R_X31Y53 TIEOFF TIEOFF[0,0] TIEOFF_X33Y53 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y53 |
R_TERM_INT R_TERM_INT_X125Y56 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y52 |
INT_L INT_L_X0Y52 TIEOFF TIEOFF[0,0] TIEOFF_X0Y52 |
INT_R INT_R_X1Y52 TIEOFF TIEOFF[0,0] TIEOFF_X1Y52 |
CLBLM_R CLBLM_R_X1Y52 SLICEM SLICE[0,0] SLICE_X0Y52 SLICEL SLICE[1,0] SLICE_X1Y52 |
CLBLL_L CLBLL_L_X2Y52 SLICEL SLICE[0,0] SLICE_X2Y52 SLICEL SLICE[1,0] SLICE_X3Y52 |
INT_L INT_L_X2Y52 TIEOFF TIEOFF[0,0] TIEOFF_X2Y52 |
INT_R INT_R_X3Y52 TIEOFF TIEOFF[0,0] TIEOFF_X3Y52 |
CLBLM_R CLBLM_R_X3Y52 SLICEM SLICE[0,0] SLICE_X4Y52 SLICEL SLICE[1,0] SLICE_X5Y52 |
VBRK VBRK_X39Y55 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y52 |
INT_L INT_L_X4Y52 TIEOFF TIEOFF[0,0] TIEOFF_X4Y52 |
INT_R INT_R_X5Y52 TIEOFF TIEOFF[0,0] TIEOFF_X5Y52 |
CLBLM_R CLBLM_R_X5Y52 SLICEM SLICE[0,0] SLICE_X6Y52 SLICEL SLICE[1,0] SLICE_X7Y52 |
CLBLM_L CLBLM_L_X6Y52 SLICEM SLICE[0,0] SLICE_X8Y52 SLICEL SLICE[1,0] SLICE_X9Y52 |
INT_L INT_L_X6Y52 TIEOFF TIEOFF[0,0] TIEOFF_X6Y52 |
INT_R INT_R_X7Y52 TIEOFF TIEOFF[0,0] TIEOFF_X7Y52 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y52 |
VBRK VBRK_X50Y55 |
CLBLM_L CLBLM_L_X8Y52 SLICEM SLICE[0,0] SLICE_X10Y52 SLICEL SLICE[1,0] SLICE_X11Y52 |
INT_L INT_L_X8Y52 TIEOFF TIEOFF[0,0] TIEOFF_X9Y52 |
INT_R INT_R_X9Y52 TIEOFF TIEOFF[0,0] TIEOFF_X10Y52 |
CLBLM_R CLBLM_R_X9Y52 SLICEM SLICE[0,0] SLICE_X12Y52 SLICEL SLICE[1,0] SLICE_X13Y52 |
VBRK VBRK_X55Y55 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y55 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y55 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y55 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y55 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y55 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y55 |
VFRAME VFRAME_X68Y55 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y52 |
INT_L INT_L_X10Y52 TIEOFF TIEOFF[0,0] TIEOFF_X11Y52 |
INT_R INT_R_X11Y52 TIEOFF TIEOFF[0,0] TIEOFF_X12Y52 |
CLBLL_R CLBLL_R_X11Y52 SLICEL SLICE[0,0] SLICE_X14Y52 SLICEL SLICE[1,0] SLICE_X15Y52 |
CLBLM_L CLBLM_L_X12Y52 SLICEM SLICE[0,0] SLICE_X16Y52 SLICEL SLICE[1,0] SLICE_X17Y52 |
INT_L INT_L_X12Y52 TIEOFF TIEOFF[0,0] TIEOFF_X13Y52 |
INT_R INT_R_X13Y52 TIEOFF TIEOFF[0,0] TIEOFF_X14Y52 |
CLBLL_R CLBLL_R_X13Y52 SLICEL SLICE[0,0] SLICE_X18Y52 SLICEL SLICE[1,0] SLICE_X19Y52 |
VBRK VBRK_X77Y55 |
CLBLM_L CLBLM_L_X14Y52 SLICEM SLICE[0,0] SLICE_X20Y52 SLICEL SLICE[1,0] SLICE_X21Y52 |
INT_L INT_L_X14Y52 TIEOFF TIEOFF[0,0] TIEOFF_X15Y52 |
INT_R INT_R_X15Y52 TIEOFF TIEOFF[0,0] TIEOFF_X16Y52 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y52 |
VBRK VBRK_X83Y55 |
CLBLL_L CLBLL_L_X16Y52 SLICEL SLICE[0,0] SLICE_X22Y52 SLICEL SLICE[1,0] SLICE_X23Y52 |
INT_L INT_L_X16Y52 TIEOFF TIEOFF[0,0] TIEOFF_X17Y52 |
INT_R INT_R_X17Y52 TIEOFF TIEOFF[0,0] TIEOFF_X18Y52 |
CLBLM_R CLBLM_R_X17Y52 SLICEM SLICE[0,0] SLICE_X24Y52 SLICEL SLICE[1,0] SLICE_X25Y52 |
VBRK VBRK_X88Y55 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y52 |
INT_L INT_L_X18Y52 TIEOFF TIEOFF[0,0] TIEOFF_X19Y52 |
INT_R INT_R_X19Y52 TIEOFF TIEOFF[0,0] TIEOFF_X20Y52 |
CLBLL_R CLBLL_R_X19Y52 SLICEL SLICE[0,0] SLICE_X26Y52 SLICEL SLICE[1,0] SLICE_X27Y52 |
CLBLM_L CLBLM_L_X20Y52 SLICEM SLICE[0,0] SLICE_X28Y52 SLICEL SLICE[1,0] SLICE_X29Y52 |
INT_L INT_L_X20Y52 TIEOFF TIEOFF[0,0] TIEOFF_X21Y52 |
INT_R INT_R_X21Y52 TIEOFF TIEOFF[0,0] TIEOFF_X22Y52 |
CLBLM_R CLBLM_R_X21Y52 SLICEM SLICE[0,0] SLICE_X30Y52 SLICEL SLICE[1,0] SLICE_X31Y52 |
VBRK VBRK_X98Y55 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y52 |
INT_L INT_L_X22Y52 TIEOFF TIEOFF[0,0] TIEOFF_X24Y52 |
INT_R INT_R_X23Y52 TIEOFF TIEOFF[0,0] TIEOFF_X25Y52 |
CLBLM_R CLBLM_R_X23Y52 SLICEM SLICE[0,0] SLICE_X32Y52 SLICEL SLICE[1,0] SLICE_X33Y52 |
CLBLM_L CLBLM_L_X24Y52 SLICEM SLICE[0,0] SLICE_X34Y52 SLICEL SLICE[1,0] SLICE_X35Y52 |
INT_L INT_L_X24Y52 TIEOFF TIEOFF[0,0] TIEOFF_X26Y52 |
INT_R INT_R_X25Y52 TIEOFF TIEOFF[0,0] TIEOFF_X27Y52 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y52 |
VBRK VBRK_X109Y55 |
CLBLL_L CLBLL_L_X26Y52 SLICEL SLICE[0,0] SLICE_X36Y52 SLICEL SLICE[1,0] SLICE_X37Y52 |
INT_L INT_L_X26Y52 TIEOFF TIEOFF[0,0] TIEOFF_X28Y52 |
INT_R INT_R_X27Y52 TIEOFF TIEOFF[0,0] TIEOFF_X29Y52 |
CLBLM_R CLBLM_R_X27Y52 SLICEM SLICE[0,0] SLICE_X38Y52 SLICEL SLICE[1,0] SLICE_X39Y52 |
CLBLL_L CLBLL_L_X28Y52 SLICEL SLICE[0,0] SLICE_X40Y52 SLICEL SLICE[1,0] SLICE_X41Y52 |
INT_L INT_L_X28Y52 TIEOFF TIEOFF[0,0] TIEOFF_X30Y52 |
INT_R INT_R_X29Y52 TIEOFF TIEOFF[0,0] TIEOFF_X31Y52 |
CLBLM_R CLBLM_R_X29Y52 SLICEM SLICE[0,0] SLICE_X42Y52 SLICEL SLICE[1,0] SLICE_X43Y52 |
VBRK VBRK_X118Y55 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y52 |
INT_L INT_L_X30Y52 TIEOFF TIEOFF[0,0] TIEOFF_X32Y52 |
INT_R INT_R_X31Y52 TIEOFF TIEOFF[0,0] TIEOFF_X33Y52 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y52 |
R_TERM_INT R_TERM_INT_X125Y55 |
RIOI3 RIOI3_X31Y51 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y51 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y52 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y51 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y52 IDELAYE2 IDELAY[0,0] IDELAY_X0Y51 IDELAYE2 IDELAY[0,1] IDELAY_X0Y52 |
RIOB33 RIOB33_X31Y51 IOB33S IOB[0,0] IOB_X0Y51 IOB33M IOB[0,1] IOB_X0Y52 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y51 |
INT_L INT_L_X0Y51 TIEOFF TIEOFF[0,0] TIEOFF_X0Y51 |
INT_R INT_R_X1Y51 TIEOFF TIEOFF[0,0] TIEOFF_X1Y51 |
CLBLM_R CLBLM_R_X1Y51 SLICEM SLICE[0,0] SLICE_X0Y51 SLICEL SLICE[1,0] SLICE_X1Y51 |
CLBLL_L CLBLL_L_X2Y51 SLICEL SLICE[0,0] SLICE_X2Y51 SLICEL SLICE[1,0] SLICE_X3Y51 |
INT_L INT_L_X2Y51 TIEOFF TIEOFF[0,0] TIEOFF_X2Y51 |
INT_R INT_R_X3Y51 TIEOFF TIEOFF[0,0] TIEOFF_X3Y51 |
CLBLM_R CLBLM_R_X3Y51 SLICEM SLICE[0,0] SLICE_X4Y51 SLICEL SLICE[1,0] SLICE_X5Y51 |
VBRK VBRK_X39Y54 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y51 |
INT_L INT_L_X4Y51 TIEOFF TIEOFF[0,0] TIEOFF_X4Y51 |
INT_R INT_R_X5Y51 TIEOFF TIEOFF[0,0] TIEOFF_X5Y51 |
CLBLM_R CLBLM_R_X5Y51 SLICEM SLICE[0,0] SLICE_X6Y51 SLICEL SLICE[1,0] SLICE_X7Y51 |
CLBLM_L CLBLM_L_X6Y51 SLICEM SLICE[0,0] SLICE_X8Y51 SLICEL SLICE[1,0] SLICE_X9Y51 |
INT_L INT_L_X6Y51 TIEOFF TIEOFF[0,0] TIEOFF_X6Y51 |
INT_R INT_R_X7Y51 TIEOFF TIEOFF[0,0] TIEOFF_X7Y51 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y51 |
VBRK VBRK_X50Y54 |
CLBLM_L CLBLM_L_X8Y51 SLICEM SLICE[0,0] SLICE_X10Y51 SLICEL SLICE[1,0] SLICE_X11Y51 |
INT_L INT_L_X8Y51 TIEOFF TIEOFF[0,0] TIEOFF_X9Y51 |
INT_R INT_R_X9Y51 TIEOFF TIEOFF[0,0] TIEOFF_X10Y51 |
CLBLM_R CLBLM_R_X9Y51 SLICEM SLICE[0,0] SLICE_X12Y51 SLICEL SLICE[1,0] SLICE_X13Y51 |
VBRK VBRK_X55Y54 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y54 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y54 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y54 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y54 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y54 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y54 |
VFRAME VFRAME_X68Y54 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y51 |
INT_L INT_L_X10Y51 TIEOFF TIEOFF[0,0] TIEOFF_X11Y51 |
INT_R INT_R_X11Y51 TIEOFF TIEOFF[0,0] TIEOFF_X12Y51 |
CLBLL_R CLBLL_R_X11Y51 SLICEL SLICE[0,0] SLICE_X14Y51 SLICEL SLICE[1,0] SLICE_X15Y51 |
CLBLM_L CLBLM_L_X12Y51 SLICEM SLICE[0,0] SLICE_X16Y51 SLICEL SLICE[1,0] SLICE_X17Y51 |
INT_L INT_L_X12Y51 TIEOFF TIEOFF[0,0] TIEOFF_X13Y51 |
INT_R INT_R_X13Y51 TIEOFF TIEOFF[0,0] TIEOFF_X14Y51 |
CLBLL_R CLBLL_R_X13Y51 SLICEL SLICE[0,0] SLICE_X18Y51 SLICEL SLICE[1,0] SLICE_X19Y51 |
VBRK VBRK_X77Y54 |
CLBLM_L CLBLM_L_X14Y51 SLICEM SLICE[0,0] SLICE_X20Y51 SLICEL SLICE[1,0] SLICE_X21Y51 |
INT_L INT_L_X14Y51 TIEOFF TIEOFF[0,0] TIEOFF_X15Y51 |
INT_R INT_R_X15Y51 TIEOFF TIEOFF[0,0] TIEOFF_X16Y51 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y51 |
VBRK VBRK_X83Y54 |
CLBLL_L CLBLL_L_X16Y51 SLICEL SLICE[0,0] SLICE_X22Y51 SLICEL SLICE[1,0] SLICE_X23Y51 |
INT_L INT_L_X16Y51 TIEOFF TIEOFF[0,0] TIEOFF_X17Y51 |
INT_R INT_R_X17Y51 TIEOFF TIEOFF[0,0] TIEOFF_X18Y51 |
CLBLM_R CLBLM_R_X17Y51 SLICEM SLICE[0,0] SLICE_X24Y51 SLICEL SLICE[1,0] SLICE_X25Y51 |
VBRK VBRK_X88Y54 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y51 |
INT_L INT_L_X18Y51 TIEOFF TIEOFF[0,0] TIEOFF_X19Y51 |
INT_R INT_R_X19Y51 TIEOFF TIEOFF[0,0] TIEOFF_X20Y51 |
CLBLL_R CLBLL_R_X19Y51 SLICEL SLICE[0,0] SLICE_X26Y51 SLICEL SLICE[1,0] SLICE_X27Y51 |
CLBLM_L CLBLM_L_X20Y51 SLICEM SLICE[0,0] SLICE_X28Y51 SLICEL SLICE[1,0] SLICE_X29Y51 |
INT_L INT_L_X20Y51 TIEOFF TIEOFF[0,0] TIEOFF_X21Y51 |
INT_R INT_R_X21Y51 TIEOFF TIEOFF[0,0] TIEOFF_X22Y51 |
CLBLM_R CLBLM_R_X21Y51 SLICEM SLICE[0,0] SLICE_X30Y51 SLICEL SLICE[1,0] SLICE_X31Y51 |
VBRK VBRK_X98Y54 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y51 |
INT_L INT_L_X22Y51 TIEOFF TIEOFF[0,0] TIEOFF_X24Y51 |
INT_R INT_R_X23Y51 TIEOFF TIEOFF[0,0] TIEOFF_X25Y51 |
CLBLM_R CLBLM_R_X23Y51 SLICEM SLICE[0,0] SLICE_X32Y51 SLICEL SLICE[1,0] SLICE_X33Y51 |
CLBLM_L CLBLM_L_X24Y51 SLICEM SLICE[0,0] SLICE_X34Y51 SLICEL SLICE[1,0] SLICE_X35Y51 |
INT_L INT_L_X24Y51 TIEOFF TIEOFF[0,0] TIEOFF_X26Y51 |
INT_R INT_R_X25Y51 TIEOFF TIEOFF[0,0] TIEOFF_X27Y51 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y51 |
VBRK VBRK_X109Y54 |
CLBLL_L CLBLL_L_X26Y51 SLICEL SLICE[0,0] SLICE_X36Y51 SLICEL SLICE[1,0] SLICE_X37Y51 |
INT_L INT_L_X26Y51 TIEOFF TIEOFF[0,0] TIEOFF_X28Y51 |
INT_R INT_R_X27Y51 TIEOFF TIEOFF[0,0] TIEOFF_X29Y51 |
CLBLM_R CLBLM_R_X27Y51 SLICEM SLICE[0,0] SLICE_X38Y51 SLICEL SLICE[1,0] SLICE_X39Y51 |
CLBLL_L CLBLL_L_X28Y51 SLICEL SLICE[0,0] SLICE_X40Y51 SLICEL SLICE[1,0] SLICE_X41Y51 |
INT_L INT_L_X28Y51 TIEOFF TIEOFF[0,0] TIEOFF_X30Y51 |
INT_R INT_R_X29Y51 TIEOFF TIEOFF[0,0] TIEOFF_X31Y51 |
CLBLM_R CLBLM_R_X29Y51 SLICEM SLICE[0,0] SLICE_X42Y51 SLICEL SLICE[1,0] SLICE_X43Y51 |
VBRK VBRK_X118Y54 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y51 |
INT_L INT_L_X30Y51 TIEOFF TIEOFF[0,0] TIEOFF_X32Y51 |
INT_R INT_R_X31Y51 TIEOFF TIEOFF[0,0] TIEOFF_X33Y51 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y51 |
R_TERM_INT R_TERM_INT_X125Y54 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y50 |
INT_L INT_L_X0Y50 TIEOFF TIEOFF[0,0] TIEOFF_X0Y50 |
INT_R INT_R_X1Y50 TIEOFF TIEOFF[0,0] TIEOFF_X1Y50 |
CLBLM_R CLBLM_R_X1Y50 SLICEM SLICE[0,0] SLICE_X0Y50 SLICEL SLICE[1,0] SLICE_X1Y50 |
CLBLL_L CLBLL_L_X2Y50 SLICEL SLICE[0,0] SLICE_X2Y50 SLICEL SLICE[1,0] SLICE_X3Y50 |
INT_L INT_L_X2Y50 TIEOFF TIEOFF[0,0] TIEOFF_X2Y50 |
INT_R INT_R_X3Y50 TIEOFF TIEOFF[0,0] TIEOFF_X3Y50 |
CLBLM_R CLBLM_R_X3Y50 SLICEM SLICE[0,0] SLICE_X4Y50 SLICEL SLICE[1,0] SLICE_X5Y50 |
VBRK VBRK_X39Y53 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y50 |
INT_L INT_L_X4Y50 TIEOFF TIEOFF[0,0] TIEOFF_X4Y50 |
INT_R INT_R_X5Y50 TIEOFF TIEOFF[0,0] TIEOFF_X5Y50 |
CLBLM_R CLBLM_R_X5Y50 SLICEM SLICE[0,0] SLICE_X6Y50 SLICEL SLICE[1,0] SLICE_X7Y50 |
CLBLM_L CLBLM_L_X6Y50 SLICEM SLICE[0,0] SLICE_X8Y50 SLICEL SLICE[1,0] SLICE_X9Y50 |
INT_L INT_L_X6Y50 TIEOFF TIEOFF[0,0] TIEOFF_X6Y50 |
INT_R INT_R_X7Y50 TIEOFF TIEOFF[0,0] TIEOFF_X7Y50 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y50 |
VBRK VBRK_X50Y53 |
CLBLM_L CLBLM_L_X8Y50 SLICEM SLICE[0,0] SLICE_X10Y50 SLICEL SLICE[1,0] SLICE_X11Y50 |
INT_L INT_L_X8Y50 TIEOFF TIEOFF[0,0] TIEOFF_X9Y50 |
INT_R INT_R_X9Y50 TIEOFF TIEOFF[0,0] TIEOFF_X10Y50 |
CLBLM_R CLBLM_R_X9Y50 SLICEM SLICE[0,0] SLICE_X12Y50 SLICEL SLICE[1,0] SLICE_X13Y50 |
VBRK VBRK_X55Y53 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y53 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y53 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y53 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y53 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y53 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y53 |
VFRAME VFRAME_X68Y53 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y50 |
INT_L INT_L_X10Y50 TIEOFF TIEOFF[0,0] TIEOFF_X11Y50 |
INT_R INT_R_X11Y50 TIEOFF TIEOFF[0,0] TIEOFF_X12Y50 |
CLBLL_R CLBLL_R_X11Y50 SLICEL SLICE[0,0] SLICE_X14Y50 SLICEL SLICE[1,0] SLICE_X15Y50 |
CLBLM_L CLBLM_L_X12Y50 SLICEM SLICE[0,0] SLICE_X16Y50 SLICEL SLICE[1,0] SLICE_X17Y50 |
INT_L INT_L_X12Y50 TIEOFF TIEOFF[0,0] TIEOFF_X13Y50 |
INT_R INT_R_X13Y50 TIEOFF TIEOFF[0,0] TIEOFF_X14Y50 |
CLBLL_R CLBLL_R_X13Y50 SLICEL SLICE[0,0] SLICE_X18Y50 SLICEL SLICE[1,0] SLICE_X19Y50 |
VBRK VBRK_X77Y53 |
CLBLM_L CLBLM_L_X14Y50 SLICEM SLICE[0,0] SLICE_X20Y50 SLICEL SLICE[1,0] SLICE_X21Y50 |
INT_L INT_L_X14Y50 TIEOFF TIEOFF[0,0] TIEOFF_X15Y50 |
INT_R INT_R_X15Y50 TIEOFF TIEOFF[0,0] TIEOFF_X16Y50 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y50 |
VBRK VBRK_X83Y53 |
CLBLL_L CLBLL_L_X16Y50 SLICEL SLICE[0,0] SLICE_X22Y50 SLICEL SLICE[1,0] SLICE_X23Y50 |
INT_L INT_L_X16Y50 TIEOFF TIEOFF[0,0] TIEOFF_X17Y50 |
INT_R INT_R_X17Y50 TIEOFF TIEOFF[0,0] TIEOFF_X18Y50 |
CLBLM_R CLBLM_R_X17Y50 SLICEM SLICE[0,0] SLICE_X24Y50 SLICEL SLICE[1,0] SLICE_X25Y50 |
VBRK VBRK_X88Y53 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y50 |
INT_L INT_L_X18Y50 TIEOFF TIEOFF[0,0] TIEOFF_X19Y50 |
INT_R INT_R_X19Y50 TIEOFF TIEOFF[0,0] TIEOFF_X20Y50 |
CLBLL_R CLBLL_R_X19Y50 SLICEL SLICE[0,0] SLICE_X26Y50 SLICEL SLICE[1,0] SLICE_X27Y50 |
CLBLM_L CLBLM_L_X20Y50 SLICEM SLICE[0,0] SLICE_X28Y50 SLICEL SLICE[1,0] SLICE_X29Y50 |
INT_L INT_L_X20Y50 TIEOFF TIEOFF[0,0] TIEOFF_X21Y50 |
INT_R INT_R_X21Y50 TIEOFF TIEOFF[0,0] TIEOFF_X22Y50 |
CLBLM_R CLBLM_R_X21Y50 SLICEM SLICE[0,0] SLICE_X30Y50 SLICEL SLICE[1,0] SLICE_X31Y50 |
VBRK VBRK_X98Y53 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y50 |
INT_L INT_L_X22Y50 TIEOFF TIEOFF[0,0] TIEOFF_X24Y50 |
INT_R INT_R_X23Y50 TIEOFF TIEOFF[0,0] TIEOFF_X25Y50 |
CLBLM_R CLBLM_R_X23Y50 SLICEM SLICE[0,0] SLICE_X32Y50 SLICEL SLICE[1,0] SLICE_X33Y50 |
CLBLM_L CLBLM_L_X24Y50 SLICEM SLICE[0,0] SLICE_X34Y50 SLICEL SLICE[1,0] SLICE_X35Y50 |
INT_L INT_L_X24Y50 TIEOFF TIEOFF[0,0] TIEOFF_X26Y50 |
INT_R INT_R_X25Y50 TIEOFF TIEOFF[0,0] TIEOFF_X27Y50 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y50 |
VBRK VBRK_X109Y53 |
CLBLL_L CLBLL_L_X26Y50 SLICEL SLICE[0,0] SLICE_X36Y50 SLICEL SLICE[1,0] SLICE_X37Y50 |
INT_L INT_L_X26Y50 TIEOFF TIEOFF[0,0] TIEOFF_X28Y50 |
INT_R INT_R_X27Y50 TIEOFF TIEOFF[0,0] TIEOFF_X29Y50 |
CLBLM_R CLBLM_R_X27Y50 SLICEM SLICE[0,0] SLICE_X38Y50 SLICEL SLICE[1,0] SLICE_X39Y50 |
CLBLL_L CLBLL_L_X28Y50 SLICEL SLICE[0,0] SLICE_X40Y50 SLICEL SLICE[1,0] SLICE_X41Y50 |
INT_L INT_L_X28Y50 TIEOFF TIEOFF[0,0] TIEOFF_X30Y50 |
INT_R INT_R_X29Y50 TIEOFF TIEOFF[0,0] TIEOFF_X31Y50 |
CLBLM_R CLBLM_R_X29Y50 SLICEM SLICE[0,0] SLICE_X42Y50 SLICEL SLICE[1,0] SLICE_X43Y50 |
VBRK VBRK_X118Y53 |
CMT_PMV_L CMT_PMV_L_X120Y53 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y50 |
INT_L INT_L_X30Y50 TIEOFF TIEOFF[0,0] TIEOFF_X32Y50 |
INT_R INT_R_X31Y50 TIEOFF TIEOFF[0,0] TIEOFF_X33Y50 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y50 |
R_TERM_INT R_TERM_INT_X125Y53 |
RIOI3_SING RIOI3_SING_X31Y50 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y50 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y50 IDELAYE2 IDELAY[0,0] IDELAY_X0Y50 |
RIOB33_SING RIOB33_SING_X31Y50 IOB33 IOB[0,0] IOB_X0Y50 |
||||||||||||||||||||||||||||||||||||||||
BRKH_CLB BRKH_CLB_X31Y52 |
BRKH_INT BRKH_INT_X0Y49 |
BRKH_INT BRKH_INT_X1Y49 |
BRKH_CLB BRKH_CLB_X1Y49 |
BRKH_CLB BRKH_CLB_X2Y49 |
BRKH_INT BRKH_INT_X2Y49 |
BRKH_INT BRKH_INT_X3Y49 |
BRKH_CLB BRKH_CLB_X3Y49 |
NULL NULL_X39Y52 |
BRKH_BRAM BRKH_BRAM_X40Y52 |
NULL NULL_X41Y52 |
BRKH_INT BRKH_INT_X4Y49 |
BRKH_INT BRKH_INT_X5Y49 |
BRKH_CLB BRKH_CLB_X5Y49 |
BRKH_CLB BRKH_CLB_X6Y49 |
BRKH_INT BRKH_INT_X6Y49 |
BRKH_INT BRKH_INT_X7Y49 |
NULL NULL_X48Y52 |
BRKH_DSP_R BRKH_DSP_R_X49Y52 |
NULL NULL_X50Y52 |
BRKH_CLB BRKH_CLB_X8Y49 |
BRKH_INT BRKH_INT_X8Y49 |
BRKH_INT BRKH_INT_X9Y49 |
BRKH_CLB BRKH_CLB_X9Y49 |
NULL NULL_X55Y52 |
NULL NULL_X56Y52 |
NULL NULL_X57Y52 |
NULL NULL_X58Y52 |
NULL NULL_X59Y52 |
NULL NULL_X60Y52 |
NULL NULL_X61Y52 |
NULL NULL_X62Y52 |
NULL NULL_X63Y52 |
NULL NULL_X64Y52 |
NULL NULL_X65Y52 |
NULL NULL_X66Y52 |
NULL NULL_X67Y52 |
NULL NULL_X68Y52 |
NULL NULL_X69Y52 |
BRKH_INT BRKH_INT_X10Y49 |
BRKH_INT BRKH_INT_X11Y49 |
BRKH_CLB BRKH_CLB_X11Y49 |
BRKH_CLB BRKH_CLB_X12Y49 |
BRKH_INT BRKH_INT_X12Y49 |
BRKH_INT BRKH_INT_X13Y49 |
BRKH_CLB BRKH_CLB_X13Y49 |
NULL NULL_X77Y52 |
BRKH_CLB BRKH_CLB_X14Y49 |
BRKH_INT BRKH_INT_X14Y49 |
BRKH_INT BRKH_INT_X15Y49 |
NULL NULL_X81Y52 |
BRKH_CLK BRKH_CLK_X82Y52 |
NULL NULL_X83Y52 |
BRKH_CLB BRKH_CLB_X16Y49 |
BRKH_INT BRKH_INT_X16Y49 |
BRKH_INT BRKH_INT_X17Y49 |
BRKH_CLB BRKH_CLB_X17Y49 |
NULL NULL_X88Y52 |
BRKH_BRAM BRKH_BRAM_X89Y52 |
NULL NULL_X90Y52 |
BRKH_INT BRKH_INT_X18Y49 |
BRKH_INT BRKH_INT_X19Y49 |
BRKH_CLB BRKH_CLB_X19Y49 |
BRKH_CLB BRKH_CLB_X20Y49 |
BRKH_INT BRKH_INT_X20Y49 |
BRKH_INT BRKH_INT_X21Y49 |
BRKH_CLB BRKH_CLB_X21Y49 |
NULL NULL_X98Y52 |
BRKH_DSP_L BRKH_DSP_L_X99Y52 |
NULL NULL_X100Y52 |
BRKH_INT BRKH_INT_X22Y49 |
BRKH_INT BRKH_INT_X23Y49 |
BRKH_CLB BRKH_CLB_X23Y49 |
BRKH_CLB BRKH_CLB_X24Y49 |
BRKH_INT BRKH_INT_X24Y49 |
BRKH_INT BRKH_INT_X25Y49 |
NULL NULL_X107Y52 |
BRKH_BRAM BRKH_BRAM_X108Y52 |
NULL NULL_X109Y52 |
BRKH_CLB BRKH_CLB_X26Y49 |
BRKH_INT BRKH_INT_X26Y49 |
BRKH_INT BRKH_INT_X27Y49 |
BRKH_CLB BRKH_CLB_X27Y49 |
BRKH_CLB BRKH_CLB_X28Y49 |
BRKH_INT BRKH_INT_X28Y49 |
BRKH_INT BRKH_INT_X29Y49 |
BRKH_CLB BRKH_CLB_X29Y49 |
NULL NULL_X118Y52 |
BRKH_CMT BRKH_CMT_X119Y52 |
NULL NULL_X120Y52 |
NULL NULL_X121Y52 |
BRKH_INT BRKH_INT_X30Y49 |
BRKH_INT BRKH_INT_X31Y49 |
NULL NULL_X124Y52 |
NULL NULL_X125Y52 |
NULL NULL_X126Y52 |
NULL NULL_X127Y52 |
||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y49 |
INT_L INT_L_X0Y49 TIEOFF TIEOFF[0,0] TIEOFF_X0Y49 |
INT_R INT_R_X1Y49 TIEOFF TIEOFF[0,0] TIEOFF_X1Y49 |
CLBLM_R CLBLM_R_X1Y49 SLICEM SLICE[0,0] SLICE_X0Y49 SLICEL SLICE[1,0] SLICE_X1Y49 |
CLBLL_L CLBLL_L_X2Y49 SLICEL SLICE[0,0] SLICE_X2Y49 SLICEL SLICE[1,0] SLICE_X3Y49 |
INT_L INT_L_X2Y49 TIEOFF TIEOFF[0,0] TIEOFF_X2Y49 |
INT_R INT_R_X3Y49 TIEOFF TIEOFF[0,0] TIEOFF_X3Y49 |
CLBLM_R CLBLM_R_X3Y49 SLICEM SLICE[0,0] SLICE_X4Y49 SLICEL SLICE[1,0] SLICE_X5Y49 |
VBRK VBRK_X39Y51 |
BRAM_L BRAM_L_X4Y45 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y9 FIFO18E1 RAMB18[0,0] RAMB18_X0Y18 RAMB18E1 RAMB18[0,1] RAMB18_X0Y19 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y49 |
INT_L INT_L_X4Y49 TIEOFF TIEOFF[0,0] TIEOFF_X4Y49 |
INT_R INT_R_X5Y49 TIEOFF TIEOFF[0,0] TIEOFF_X5Y49 |
CLBLM_R CLBLM_R_X5Y49 SLICEM SLICE[0,0] SLICE_X6Y49 SLICEL SLICE[1,0] SLICE_X7Y49 |
CLBLM_L CLBLM_L_X6Y49 SLICEM SLICE[0,0] SLICE_X8Y49 SLICEL SLICE[1,0] SLICE_X9Y49 |
INT_L INT_L_X6Y49 TIEOFF TIEOFF[0,0] TIEOFF_X6Y49 |
INT_R INT_R_X7Y49 TIEOFF TIEOFF[0,0] TIEOFF_X7Y49 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y49 |
DSP_R DSP_R_X7Y45 TIEOFF TIEOFF[0,0] TIEOFF_X8Y45 DSP48E1 DSP48[0,0] DSP48_X0Y18 DSP48E1 DSP48[0,1] DSP48_X0Y19 |
VBRK VBRK_X50Y51 |
CLBLM_L CLBLM_L_X8Y49 SLICEM SLICE[0,0] SLICE_X10Y49 SLICEL SLICE[1,0] SLICE_X11Y49 |
INT_L INT_L_X8Y49 TIEOFF TIEOFF[0,0] TIEOFF_X9Y49 |
INT_R INT_R_X9Y49 TIEOFF TIEOFF[0,0] TIEOFF_X10Y49 |
CLBLM_R CLBLM_R_X9Y49 SLICEM SLICE[0,0] SLICE_X12Y49 SLICEL SLICE[1,0] SLICE_X13Y49 |
VBRK VBRK_X55Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y51 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y51 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y51 |
CFG_CENTER_TOP CFG_CENTER_TOP_X67Y42 DNA_PORT DNA_PORT[0,0] DNA_PORT_X0Y0 EFUSE_USR EFUSE_USR[0,0] EFUSE_USR_X0Y0 |
VFRAME VFRAME_X68Y51 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y49 |
INT_L INT_L_X10Y49 TIEOFF TIEOFF[0,0] TIEOFF_X11Y49 |
INT_R INT_R_X11Y49 TIEOFF TIEOFF[0,0] TIEOFF_X12Y49 |
CLBLL_R CLBLL_R_X11Y49 SLICEL SLICE[0,0] SLICE_X14Y49 SLICEL SLICE[1,0] SLICE_X15Y49 |
CLBLM_L CLBLM_L_X12Y49 SLICEM SLICE[0,0] SLICE_X16Y49 SLICEL SLICE[1,0] SLICE_X17Y49 |
INT_L INT_L_X12Y49 TIEOFF TIEOFF[0,0] TIEOFF_X13Y49 |
INT_R INT_R_X13Y49 TIEOFF TIEOFF[0,0] TIEOFF_X14Y49 |
CLBLL_R CLBLL_R_X13Y49 SLICEL SLICE[0,0] SLICE_X18Y49 SLICEL SLICE[1,0] SLICE_X19Y49 |
VBRK VBRK_X77Y51 |
CLBLM_L CLBLM_L_X14Y49 SLICEM SLICE[0,0] SLICE_X20Y49 SLICEL SLICE[1,0] SLICE_X21Y49 |
INT_L INT_L_X14Y49 TIEOFF TIEOFF[0,0] TIEOFF_X15Y49 |
INT_R INT_R_X15Y49 TIEOFF TIEOFF[0,0] TIEOFF_X16Y49 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y49 |
CLK_BUFG_BOT_R CLK_BUFG_BOT_R_X82Y48 BUFGCTRL BUFGCTRL[0,0] BUFGCTRL_X0Y0 BUFGCTRL BUFGCTRL[0,1] BUFGCTRL_X0Y1 BUFGCTRL BUFGCTRL[0,2] BUFGCTRL_X0Y2 BUFGCTRL BUFGCTRL[0,3] BUFGCTRL_X0Y3 BUFGCTRL BUFGCTRL[0,4] BUFGCTRL_X0Y4 BUFGCTRL BUFGCTRL[0,5] BUFGCTRL_X0Y5 BUFGCTRL BUFGCTRL[0,6] BUFGCTRL_X0Y6 BUFGCTRL BUFGCTRL[0,7] BUFGCTRL_X0Y7 BUFGCTRL BUFGCTRL[0,8] BUFGCTRL_X0Y8 BUFGCTRL BUFGCTRL[0,9] BUFGCTRL_X0Y9 BUFGCTRL BUFGCTRL[0,10] BUFGCTRL_X0Y10 BUFGCTRL BUFGCTRL[0,11] BUFGCTRL_X0Y11 BUFGCTRL BUFGCTRL[0,12] BUFGCTRL_X0Y12 BUFGCTRL BUFGCTRL[0,13] BUFGCTRL_X0Y13 BUFGCTRL BUFGCTRL[0,14] BUFGCTRL_X0Y14 BUFGCTRL BUFGCTRL[0,15] BUFGCTRL_X0Y15 |
VBRK VBRK_X83Y51 |
CLBLL_L CLBLL_L_X16Y49 SLICEL SLICE[0,0] SLICE_X22Y49 SLICEL SLICE[1,0] SLICE_X23Y49 |
INT_L INT_L_X16Y49 TIEOFF TIEOFF[0,0] TIEOFF_X17Y49 |
INT_R INT_R_X17Y49 TIEOFF TIEOFF[0,0] TIEOFF_X18Y49 |
CLBLM_R CLBLM_R_X17Y49 SLICEM SLICE[0,0] SLICE_X24Y49 SLICEL SLICE[1,0] SLICE_X25Y49 |
VBRK VBRK_X88Y51 |
BRAM_L BRAM_L_X18Y45 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y9 FIFO18E1 RAMB18[0,0] RAMB18_X1Y18 RAMB18E1 RAMB18[0,1] RAMB18_X1Y19 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y49 |
INT_L INT_L_X18Y49 TIEOFF TIEOFF[0,0] TIEOFF_X19Y49 |
INT_R INT_R_X19Y49 TIEOFF TIEOFF[0,0] TIEOFF_X20Y49 |
CLBLL_R CLBLL_R_X19Y49 SLICEL SLICE[0,0] SLICE_X26Y49 SLICEL SLICE[1,0] SLICE_X27Y49 |
CLBLM_L CLBLM_L_X20Y49 SLICEM SLICE[0,0] SLICE_X28Y49 SLICEL SLICE[1,0] SLICE_X29Y49 |
INT_L INT_L_X20Y49 TIEOFF TIEOFF[0,0] TIEOFF_X21Y49 |
INT_R INT_R_X21Y49 TIEOFF TIEOFF[0,0] TIEOFF_X22Y49 |
CLBLM_R CLBLM_R_X21Y49 SLICEM SLICE[0,0] SLICE_X30Y49 SLICEL SLICE[1,0] SLICE_X31Y49 |
VBRK VBRK_X98Y51 |
DSP_L DSP_L_X22Y45 TIEOFF TIEOFF[0,0] TIEOFF_X23Y45 DSP48E1 DSP48[0,0] DSP48_X1Y18 DSP48E1 DSP48[0,1] DSP48_X1Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y49 |
INT_L INT_L_X22Y49 TIEOFF TIEOFF[0,0] TIEOFF_X24Y49 |
INT_R INT_R_X23Y49 TIEOFF TIEOFF[0,0] TIEOFF_X25Y49 |
CLBLM_R CLBLM_R_X23Y49 SLICEM SLICE[0,0] SLICE_X32Y49 SLICEL SLICE[1,0] SLICE_X33Y49 |
CLBLM_L CLBLM_L_X24Y49 SLICEM SLICE[0,0] SLICE_X34Y49 SLICEL SLICE[1,0] SLICE_X35Y49 |
INT_L INT_L_X24Y49 TIEOFF TIEOFF[0,0] TIEOFF_X26Y49 |
INT_R INT_R_X25Y49 TIEOFF TIEOFF[0,0] TIEOFF_X27Y49 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y49 |
BRAM_R BRAM_R_X25Y45 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y9 FIFO18E1 RAMB18[0,0] RAMB18_X2Y18 RAMB18E1 RAMB18[0,1] RAMB18_X2Y19 |
VBRK VBRK_X109Y51 |
CLBLL_L CLBLL_L_X26Y49 SLICEL SLICE[0,0] SLICE_X36Y49 SLICEL SLICE[1,0] SLICE_X37Y49 |
INT_L INT_L_X26Y49 TIEOFF TIEOFF[0,0] TIEOFF_X28Y49 |
INT_R INT_R_X27Y49 TIEOFF TIEOFF[0,0] TIEOFF_X29Y49 |
CLBLM_R CLBLM_R_X27Y49 SLICEM SLICE[0,0] SLICE_X38Y49 SLICEL SLICE[1,0] SLICE_X39Y49 |
CLBLL_L CLBLL_L_X28Y49 SLICEL SLICE[0,0] SLICE_X40Y49 SLICEL SLICE[1,0] SLICE_X41Y49 |
INT_L INT_L_X28Y49 TIEOFF TIEOFF[0,0] TIEOFF_X30Y49 |
INT_R INT_R_X29Y49 TIEOFF TIEOFF[0,0] TIEOFF_X31Y49 |
CLBLM_R CLBLM_R_X29Y49 SLICEM SLICE[0,0] SLICE_X42Y49 SLICEL SLICE[1,0] SLICE_X43Y49 |
VBRK VBRK_X118Y51 |
CMT_TOP_L_UPPER_T CMT_TOP_L_UPPER_T_X119Y44 PLLE2_ADV PLLE2_ADV[0,0] PLLE2_ADV_X0Y0 |
CMT_PMV_L CMT_PMV_L_X120Y51 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y49 |
INT_L INT_L_X30Y49 TIEOFF TIEOFF[0,0] TIEOFF_X32Y49 |
INT_R INT_R_X31Y49 TIEOFF TIEOFF[0,0] TIEOFF_X33Y49 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y49 |
R_TERM_INT R_TERM_INT_X125Y51 |
RIOI3_SING RIOI3_SING_X31Y49 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y49 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y49 IDELAYE2 IDELAY[0,0] IDELAY_X0Y49 |
RIOB33_SING RIOB33_SING_X31Y49 IOB33 IOB[0,0] IOB_X0Y49 |
||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y48 |
INT_L INT_L_X0Y48 TIEOFF TIEOFF[0,0] TIEOFF_X0Y48 |
INT_R INT_R_X1Y48 TIEOFF TIEOFF[0,0] TIEOFF_X1Y48 |
CLBLM_R CLBLM_R_X1Y48 SLICEM SLICE[0,0] SLICE_X0Y48 SLICEL SLICE[1,0] SLICE_X1Y48 |
CLBLL_L CLBLL_L_X2Y48 SLICEL SLICE[0,0] SLICE_X2Y48 SLICEL SLICE[1,0] SLICE_X3Y48 |
INT_L INT_L_X2Y48 TIEOFF TIEOFF[0,0] TIEOFF_X2Y48 |
INT_R INT_R_X3Y48 TIEOFF TIEOFF[0,0] TIEOFF_X3Y48 |
CLBLM_R CLBLM_R_X3Y48 SLICEM SLICE[0,0] SLICE_X4Y48 SLICEL SLICE[1,0] SLICE_X5Y48 |
VBRK VBRK_X39Y50 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y48 |
INT_L INT_L_X4Y48 TIEOFF TIEOFF[0,0] TIEOFF_X4Y48 |
INT_R INT_R_X5Y48 TIEOFF TIEOFF[0,0] TIEOFF_X5Y48 |
CLBLM_R CLBLM_R_X5Y48 SLICEM SLICE[0,0] SLICE_X6Y48 SLICEL SLICE[1,0] SLICE_X7Y48 |
CLBLM_L CLBLM_L_X6Y48 SLICEM SLICE[0,0] SLICE_X8Y48 SLICEL SLICE[1,0] SLICE_X9Y48 |
INT_L INT_L_X6Y48 TIEOFF TIEOFF[0,0] TIEOFF_X6Y48 |
INT_R INT_R_X7Y48 TIEOFF TIEOFF[0,0] TIEOFF_X7Y48 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y48 |
VBRK VBRK_X50Y50 |
CLBLM_L CLBLM_L_X8Y48 SLICEM SLICE[0,0] SLICE_X10Y48 SLICEL SLICE[1,0] SLICE_X11Y48 |
INT_L INT_L_X8Y48 TIEOFF TIEOFF[0,0] TIEOFF_X9Y48 |
INT_R INT_R_X9Y48 TIEOFF TIEOFF[0,0] TIEOFF_X10Y48 |
CLBLM_R CLBLM_R_X9Y48 SLICEM SLICE[0,0] SLICE_X12Y48 SLICEL SLICE[1,0] SLICE_X13Y48 |
VBRK VBRK_X55Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y50 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y50 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y50 |
VFRAME VFRAME_X68Y50 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y48 |
INT_L INT_L_X10Y48 TIEOFF TIEOFF[0,0] TIEOFF_X11Y48 |
INT_R INT_R_X11Y48 TIEOFF TIEOFF[0,0] TIEOFF_X12Y48 |
CLBLL_R CLBLL_R_X11Y48 SLICEL SLICE[0,0] SLICE_X14Y48 SLICEL SLICE[1,0] SLICE_X15Y48 |
CLBLM_L CLBLM_L_X12Y48 SLICEM SLICE[0,0] SLICE_X16Y48 SLICEL SLICE[1,0] SLICE_X17Y48 |
INT_L INT_L_X12Y48 TIEOFF TIEOFF[0,0] TIEOFF_X13Y48 |
INT_R INT_R_X13Y48 TIEOFF TIEOFF[0,0] TIEOFF_X14Y48 |
CLBLL_R CLBLL_R_X13Y48 SLICEL SLICE[0,0] SLICE_X18Y48 SLICEL SLICE[1,0] SLICE_X19Y48 |
VBRK VBRK_X77Y50 |
CLBLM_L CLBLM_L_X14Y48 SLICEM SLICE[0,0] SLICE_X20Y48 SLICEL SLICE[1,0] SLICE_X21Y48 |
INT_L INT_L_X14Y48 TIEOFF TIEOFF[0,0] TIEOFF_X15Y48 |
INT_R INT_R_X15Y48 TIEOFF TIEOFF[0,0] TIEOFF_X16Y48 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y48 |
VBRK VBRK_X83Y50 |
CLBLL_L CLBLL_L_X16Y48 SLICEL SLICE[0,0] SLICE_X22Y48 SLICEL SLICE[1,0] SLICE_X23Y48 |
INT_L INT_L_X16Y48 TIEOFF TIEOFF[0,0] TIEOFF_X17Y48 |
INT_R INT_R_X17Y48 TIEOFF TIEOFF[0,0] TIEOFF_X18Y48 |
CLBLM_R CLBLM_R_X17Y48 SLICEM SLICE[0,0] SLICE_X24Y48 SLICEL SLICE[1,0] SLICE_X25Y48 |
VBRK VBRK_X88Y50 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y48 |
INT_L INT_L_X18Y48 TIEOFF TIEOFF[0,0] TIEOFF_X19Y48 |
INT_R INT_R_X19Y48 TIEOFF TIEOFF[0,0] TIEOFF_X20Y48 |
CLBLL_R CLBLL_R_X19Y48 SLICEL SLICE[0,0] SLICE_X26Y48 SLICEL SLICE[1,0] SLICE_X27Y48 |
CLBLM_L CLBLM_L_X20Y48 SLICEM SLICE[0,0] SLICE_X28Y48 SLICEL SLICE[1,0] SLICE_X29Y48 |
INT_L INT_L_X20Y48 TIEOFF TIEOFF[0,0] TIEOFF_X21Y48 |
INT_R INT_R_X21Y48 TIEOFF TIEOFF[0,0] TIEOFF_X22Y48 |
CLBLM_R CLBLM_R_X21Y48 SLICEM SLICE[0,0] SLICE_X30Y48 SLICEL SLICE[1,0] SLICE_X31Y48 |
VBRK VBRK_X98Y50 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y48 |
INT_L INT_L_X22Y48 TIEOFF TIEOFF[0,0] TIEOFF_X24Y48 |
INT_R INT_R_X23Y48 TIEOFF TIEOFF[0,0] TIEOFF_X25Y48 |
CLBLM_R CLBLM_R_X23Y48 SLICEM SLICE[0,0] SLICE_X32Y48 SLICEL SLICE[1,0] SLICE_X33Y48 |
CLBLM_L CLBLM_L_X24Y48 SLICEM SLICE[0,0] SLICE_X34Y48 SLICEL SLICE[1,0] SLICE_X35Y48 |
INT_L INT_L_X24Y48 TIEOFF TIEOFF[0,0] TIEOFF_X26Y48 |
INT_R INT_R_X25Y48 TIEOFF TIEOFF[0,0] TIEOFF_X27Y48 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y48 |
VBRK VBRK_X109Y50 |
CLBLL_L CLBLL_L_X26Y48 SLICEL SLICE[0,0] SLICE_X36Y48 SLICEL SLICE[1,0] SLICE_X37Y48 |
INT_L INT_L_X26Y48 TIEOFF TIEOFF[0,0] TIEOFF_X28Y48 |
INT_R INT_R_X27Y48 TIEOFF TIEOFF[0,0] TIEOFF_X29Y48 |
CLBLM_R CLBLM_R_X27Y48 SLICEM SLICE[0,0] SLICE_X38Y48 SLICEL SLICE[1,0] SLICE_X39Y48 |
CLBLL_L CLBLL_L_X28Y48 SLICEL SLICE[0,0] SLICE_X40Y48 SLICEL SLICE[1,0] SLICE_X41Y48 |
INT_L INT_L_X28Y48 TIEOFF TIEOFF[0,0] TIEOFF_X30Y48 |
INT_R INT_R_X29Y48 TIEOFF TIEOFF[0,0] TIEOFF_X31Y48 |
CLBLM_R CLBLM_R_X29Y48 SLICEM SLICE[0,0] SLICE_X42Y48 SLICEL SLICE[1,0] SLICE_X43Y48 |
VBRK VBRK_X118Y50 |
CMT_FIFO_L CMT_FIFO_L_X120Y45 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y3 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y48 |
INT_L INT_L_X30Y48 TIEOFF TIEOFF[0,0] TIEOFF_X32Y48 |
INT_R INT_R_X31Y48 TIEOFF TIEOFF[0,0] TIEOFF_X33Y48 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y48 |
R_TERM_INT R_TERM_INT_X125Y50 |
RIOI3 RIOI3_X31Y47 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y47 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y48 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y47 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y48 IDELAYE2 IDELAY[0,0] IDELAY_X0Y47 IDELAYE2 IDELAY[0,1] IDELAY_X0Y48 |
RIOB33 RIOB33_X31Y47 IOB33S IOB[0,0] IOB_X0Y47 IOB33M IOB[0,1] IOB_X0Y48 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y47 |
INT_L INT_L_X0Y47 TIEOFF TIEOFF[0,0] TIEOFF_X0Y47 |
INT_R INT_R_X1Y47 TIEOFF TIEOFF[0,0] TIEOFF_X1Y47 |
CLBLM_R CLBLM_R_X1Y47 SLICEM SLICE[0,0] SLICE_X0Y47 SLICEL SLICE[1,0] SLICE_X1Y47 |
CLBLL_L CLBLL_L_X2Y47 SLICEL SLICE[0,0] SLICE_X2Y47 SLICEL SLICE[1,0] SLICE_X3Y47 |
INT_L INT_L_X2Y47 TIEOFF TIEOFF[0,0] TIEOFF_X2Y47 |
INT_R INT_R_X3Y47 TIEOFF TIEOFF[0,0] TIEOFF_X3Y47 |
CLBLM_R CLBLM_R_X3Y47 SLICEM SLICE[0,0] SLICE_X4Y47 SLICEL SLICE[1,0] SLICE_X5Y47 |
VBRK VBRK_X39Y49 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y47 |
INT_L INT_L_X4Y47 TIEOFF TIEOFF[0,0] TIEOFF_X4Y47 |
INT_R INT_R_X5Y47 TIEOFF TIEOFF[0,0] TIEOFF_X5Y47 |
CLBLM_R CLBLM_R_X5Y47 SLICEM SLICE[0,0] SLICE_X6Y47 SLICEL SLICE[1,0] SLICE_X7Y47 |
CLBLM_L CLBLM_L_X6Y47 SLICEM SLICE[0,0] SLICE_X8Y47 SLICEL SLICE[1,0] SLICE_X9Y47 |
INT_L INT_L_X6Y47 TIEOFF TIEOFF[0,0] TIEOFF_X6Y47 |
INT_R INT_R_X7Y47 TIEOFF TIEOFF[0,0] TIEOFF_X7Y47 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y47 |
VBRK VBRK_X50Y49 |
CLBLM_L CLBLM_L_X8Y47 SLICEM SLICE[0,0] SLICE_X10Y47 SLICEL SLICE[1,0] SLICE_X11Y47 |
INT_L INT_L_X8Y47 TIEOFF TIEOFF[0,0] TIEOFF_X9Y47 |
INT_R INT_R_X9Y47 TIEOFF TIEOFF[0,0] TIEOFF_X10Y47 |
CLBLM_R CLBLM_R_X9Y47 SLICEM SLICE[0,0] SLICE_X12Y47 SLICEL SLICE[1,0] SLICE_X13Y47 |
VBRK VBRK_X55Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y49 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y49 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y49 |
VFRAME VFRAME_X68Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y47 |
INT_L INT_L_X10Y47 TIEOFF TIEOFF[0,0] TIEOFF_X11Y47 |
INT_R INT_R_X11Y47 TIEOFF TIEOFF[0,0] TIEOFF_X12Y47 |
CLBLL_R CLBLL_R_X11Y47 SLICEL SLICE[0,0] SLICE_X14Y47 SLICEL SLICE[1,0] SLICE_X15Y47 |
CLBLM_L CLBLM_L_X12Y47 SLICEM SLICE[0,0] SLICE_X16Y47 SLICEL SLICE[1,0] SLICE_X17Y47 |
INT_L INT_L_X12Y47 TIEOFF TIEOFF[0,0] TIEOFF_X13Y47 |
INT_R INT_R_X13Y47 TIEOFF TIEOFF[0,0] TIEOFF_X14Y47 |
CLBLL_R CLBLL_R_X13Y47 SLICEL SLICE[0,0] SLICE_X18Y47 SLICEL SLICE[1,0] SLICE_X19Y47 |
VBRK VBRK_X77Y49 |
CLBLM_L CLBLM_L_X14Y47 SLICEM SLICE[0,0] SLICE_X20Y47 SLICEL SLICE[1,0] SLICE_X21Y47 |
INT_L INT_L_X14Y47 TIEOFF TIEOFF[0,0] TIEOFF_X15Y47 |
INT_R INT_R_X15Y47 TIEOFF TIEOFF[0,0] TIEOFF_X16Y47 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y47 |
VBRK VBRK_X83Y49 |
CLBLL_L CLBLL_L_X16Y47 SLICEL SLICE[0,0] SLICE_X22Y47 SLICEL SLICE[1,0] SLICE_X23Y47 |
INT_L INT_L_X16Y47 TIEOFF TIEOFF[0,0] TIEOFF_X17Y47 |
INT_R INT_R_X17Y47 TIEOFF TIEOFF[0,0] TIEOFF_X18Y47 |
CLBLM_R CLBLM_R_X17Y47 SLICEM SLICE[0,0] SLICE_X24Y47 SLICEL SLICE[1,0] SLICE_X25Y47 |
VBRK VBRK_X88Y49 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y47 |
INT_L INT_L_X18Y47 TIEOFF TIEOFF[0,0] TIEOFF_X19Y47 |
INT_R INT_R_X19Y47 TIEOFF TIEOFF[0,0] TIEOFF_X20Y47 |
CLBLL_R CLBLL_R_X19Y47 SLICEL SLICE[0,0] SLICE_X26Y47 SLICEL SLICE[1,0] SLICE_X27Y47 |
CLBLM_L CLBLM_L_X20Y47 SLICEM SLICE[0,0] SLICE_X28Y47 SLICEL SLICE[1,0] SLICE_X29Y47 |
INT_L INT_L_X20Y47 TIEOFF TIEOFF[0,0] TIEOFF_X21Y47 |
INT_R INT_R_X21Y47 TIEOFF TIEOFF[0,0] TIEOFF_X22Y47 |
CLBLM_R CLBLM_R_X21Y47 SLICEM SLICE[0,0] SLICE_X30Y47 SLICEL SLICE[1,0] SLICE_X31Y47 |
VBRK VBRK_X98Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y47 |
INT_L INT_L_X22Y47 TIEOFF TIEOFF[0,0] TIEOFF_X24Y47 |
INT_R INT_R_X23Y47 TIEOFF TIEOFF[0,0] TIEOFF_X25Y47 |
CLBLM_R CLBLM_R_X23Y47 SLICEM SLICE[0,0] SLICE_X32Y47 SLICEL SLICE[1,0] SLICE_X33Y47 |
CLBLM_L CLBLM_L_X24Y47 SLICEM SLICE[0,0] SLICE_X34Y47 SLICEL SLICE[1,0] SLICE_X35Y47 |
INT_L INT_L_X24Y47 TIEOFF TIEOFF[0,0] TIEOFF_X26Y47 |
INT_R INT_R_X25Y47 TIEOFF TIEOFF[0,0] TIEOFF_X27Y47 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y47 |
VBRK VBRK_X109Y49 |
CLBLL_L CLBLL_L_X26Y47 SLICEL SLICE[0,0] SLICE_X36Y47 SLICEL SLICE[1,0] SLICE_X37Y47 |
INT_L INT_L_X26Y47 TIEOFF TIEOFF[0,0] TIEOFF_X28Y47 |
INT_R INT_R_X27Y47 TIEOFF TIEOFF[0,0] TIEOFF_X29Y47 |
CLBLM_R CLBLM_R_X27Y47 SLICEM SLICE[0,0] SLICE_X38Y47 SLICEL SLICE[1,0] SLICE_X39Y47 |
CLBLL_L CLBLL_L_X28Y47 SLICEL SLICE[0,0] SLICE_X40Y47 SLICEL SLICE[1,0] SLICE_X41Y47 |
INT_L INT_L_X28Y47 TIEOFF TIEOFF[0,0] TIEOFF_X30Y47 |
INT_R INT_R_X29Y47 TIEOFF TIEOFF[0,0] TIEOFF_X31Y47 |
CLBLM_R CLBLM_R_X29Y47 SLICEM SLICE[0,0] SLICE_X42Y47 SLICEL SLICE[1,0] SLICE_X43Y47 |
VBRK VBRK_X118Y49 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y47 |
INT_L INT_L_X30Y47 TIEOFF TIEOFF[0,0] TIEOFF_X32Y47 |
INT_R INT_R_X31Y47 TIEOFF TIEOFF[0,0] TIEOFF_X33Y47 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y47 |
R_TERM_INT R_TERM_INT_X125Y49 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y46 |
INT_L INT_L_X0Y46 TIEOFF TIEOFF[0,0] TIEOFF_X0Y46 |
INT_R INT_R_X1Y46 TIEOFF TIEOFF[0,0] TIEOFF_X1Y46 |
CLBLM_R CLBLM_R_X1Y46 SLICEM SLICE[0,0] SLICE_X0Y46 SLICEL SLICE[1,0] SLICE_X1Y46 |
CLBLL_L CLBLL_L_X2Y46 SLICEL SLICE[0,0] SLICE_X2Y46 SLICEL SLICE[1,0] SLICE_X3Y46 |
INT_L INT_L_X2Y46 TIEOFF TIEOFF[0,0] TIEOFF_X2Y46 |
INT_R INT_R_X3Y46 TIEOFF TIEOFF[0,0] TIEOFF_X3Y46 |
CLBLM_R CLBLM_R_X3Y46 SLICEM SLICE[0,0] SLICE_X4Y46 SLICEL SLICE[1,0] SLICE_X5Y46 |
VBRK VBRK_X39Y48 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y46 |
INT_L INT_L_X4Y46 TIEOFF TIEOFF[0,0] TIEOFF_X4Y46 |
INT_R INT_R_X5Y46 TIEOFF TIEOFF[0,0] TIEOFF_X5Y46 |
CLBLM_R CLBLM_R_X5Y46 SLICEM SLICE[0,0] SLICE_X6Y46 SLICEL SLICE[1,0] SLICE_X7Y46 |
CLBLM_L CLBLM_L_X6Y46 SLICEM SLICE[0,0] SLICE_X8Y46 SLICEL SLICE[1,0] SLICE_X9Y46 |
INT_L INT_L_X6Y46 TIEOFF TIEOFF[0,0] TIEOFF_X6Y46 |
INT_R INT_R_X7Y46 TIEOFF TIEOFF[0,0] TIEOFF_X7Y46 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y46 |
VBRK VBRK_X50Y48 |
CLBLM_L CLBLM_L_X8Y46 SLICEM SLICE[0,0] SLICE_X10Y46 SLICEL SLICE[1,0] SLICE_X11Y46 |
INT_L INT_L_X8Y46 TIEOFF TIEOFF[0,0] TIEOFF_X9Y46 |
INT_R INT_R_X9Y46 TIEOFF TIEOFF[0,0] TIEOFF_X10Y46 |
CLBLM_R CLBLM_R_X9Y46 SLICEM SLICE[0,0] SLICE_X12Y46 SLICEL SLICE[1,0] SLICE_X13Y46 |
VBRK VBRK_X55Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y48 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y48 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y48 |
VFRAME VFRAME_X68Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y46 |
INT_L INT_L_X10Y46 TIEOFF TIEOFF[0,0] TIEOFF_X11Y46 |
INT_R INT_R_X11Y46 TIEOFF TIEOFF[0,0] TIEOFF_X12Y46 |
CLBLL_R CLBLL_R_X11Y46 SLICEL SLICE[0,0] SLICE_X14Y46 SLICEL SLICE[1,0] SLICE_X15Y46 |
CLBLM_L CLBLM_L_X12Y46 SLICEM SLICE[0,0] SLICE_X16Y46 SLICEL SLICE[1,0] SLICE_X17Y46 |
INT_L INT_L_X12Y46 TIEOFF TIEOFF[0,0] TIEOFF_X13Y46 |
INT_R INT_R_X13Y46 TIEOFF TIEOFF[0,0] TIEOFF_X14Y46 |
CLBLL_R CLBLL_R_X13Y46 SLICEL SLICE[0,0] SLICE_X18Y46 SLICEL SLICE[1,0] SLICE_X19Y46 |
VBRK VBRK_X77Y48 |
CLBLM_L CLBLM_L_X14Y46 SLICEM SLICE[0,0] SLICE_X20Y46 SLICEL SLICE[1,0] SLICE_X21Y46 |
INT_L INT_L_X14Y46 TIEOFF TIEOFF[0,0] TIEOFF_X15Y46 |
INT_R INT_R_X15Y46 TIEOFF TIEOFF[0,0] TIEOFF_X16Y46 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y46 |
VBRK VBRK_X83Y48 |
CLBLL_L CLBLL_L_X16Y46 SLICEL SLICE[0,0] SLICE_X22Y46 SLICEL SLICE[1,0] SLICE_X23Y46 |
INT_L INT_L_X16Y46 TIEOFF TIEOFF[0,0] TIEOFF_X17Y46 |
INT_R INT_R_X17Y46 TIEOFF TIEOFF[0,0] TIEOFF_X18Y46 |
CLBLM_R CLBLM_R_X17Y46 SLICEM SLICE[0,0] SLICE_X24Y46 SLICEL SLICE[1,0] SLICE_X25Y46 |
VBRK VBRK_X88Y48 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y46 |
INT_L INT_L_X18Y46 TIEOFF TIEOFF[0,0] TIEOFF_X19Y46 |
INT_R INT_R_X19Y46 TIEOFF TIEOFF[0,0] TIEOFF_X20Y46 |
CLBLL_R CLBLL_R_X19Y46 SLICEL SLICE[0,0] SLICE_X26Y46 SLICEL SLICE[1,0] SLICE_X27Y46 |
CLBLM_L CLBLM_L_X20Y46 SLICEM SLICE[0,0] SLICE_X28Y46 SLICEL SLICE[1,0] SLICE_X29Y46 |
INT_L INT_L_X20Y46 TIEOFF TIEOFF[0,0] TIEOFF_X21Y46 |
INT_R INT_R_X21Y46 TIEOFF TIEOFF[0,0] TIEOFF_X22Y46 |
CLBLM_R CLBLM_R_X21Y46 SLICEM SLICE[0,0] SLICE_X30Y46 SLICEL SLICE[1,0] SLICE_X31Y46 |
VBRK VBRK_X98Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y46 |
INT_L INT_L_X22Y46 TIEOFF TIEOFF[0,0] TIEOFF_X24Y46 |
INT_R INT_R_X23Y46 TIEOFF TIEOFF[0,0] TIEOFF_X25Y46 |
CLBLM_R CLBLM_R_X23Y46 SLICEM SLICE[0,0] SLICE_X32Y46 SLICEL SLICE[1,0] SLICE_X33Y46 |
CLBLM_L CLBLM_L_X24Y46 SLICEM SLICE[0,0] SLICE_X34Y46 SLICEL SLICE[1,0] SLICE_X35Y46 |
INT_L INT_L_X24Y46 TIEOFF TIEOFF[0,0] TIEOFF_X26Y46 |
INT_R INT_R_X25Y46 TIEOFF TIEOFF[0,0] TIEOFF_X27Y46 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y46 |
VBRK VBRK_X109Y48 |
CLBLL_L CLBLL_L_X26Y46 SLICEL SLICE[0,0] SLICE_X36Y46 SLICEL SLICE[1,0] SLICE_X37Y46 |
INT_L INT_L_X26Y46 TIEOFF TIEOFF[0,0] TIEOFF_X28Y46 |
INT_R INT_R_X27Y46 TIEOFF TIEOFF[0,0] TIEOFF_X29Y46 |
CLBLM_R CLBLM_R_X27Y46 SLICEM SLICE[0,0] SLICE_X38Y46 SLICEL SLICE[1,0] SLICE_X39Y46 |
CLBLL_L CLBLL_L_X28Y46 SLICEL SLICE[0,0] SLICE_X40Y46 SLICEL SLICE[1,0] SLICE_X41Y46 |
INT_L INT_L_X28Y46 TIEOFF TIEOFF[0,0] TIEOFF_X30Y46 |
INT_R INT_R_X29Y46 TIEOFF TIEOFF[0,0] TIEOFF_X31Y46 |
CLBLM_R CLBLM_R_X29Y46 SLICEM SLICE[0,0] SLICE_X42Y46 SLICEL SLICE[1,0] SLICE_X43Y46 |
VBRK VBRK_X118Y48 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y46 |
INT_L INT_L_X30Y46 TIEOFF TIEOFF[0,0] TIEOFF_X32Y46 |
INT_R INT_R_X31Y46 TIEOFF TIEOFF[0,0] TIEOFF_X33Y46 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y46 |
R_TERM_INT R_TERM_INT_X125Y48 |
RIOI3 RIOI3_X31Y45 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y45 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y46 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y45 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y46 IDELAYE2 IDELAY[0,0] IDELAY_X0Y45 IDELAYE2 IDELAY[0,1] IDELAY_X0Y46 |
RIOB33 RIOB33_X31Y45 IOB33S IOB[0,0] IOB_X0Y45 IOB33M IOB[0,1] IOB_X0Y46 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y45 |
INT_L INT_L_X0Y45 TIEOFF TIEOFF[0,0] TIEOFF_X0Y45 |
INT_R INT_R_X1Y45 TIEOFF TIEOFF[0,0] TIEOFF_X1Y45 |
CLBLM_R CLBLM_R_X1Y45 SLICEM SLICE[0,0] SLICE_X0Y45 SLICEL SLICE[1,0] SLICE_X1Y45 |
CLBLL_L CLBLL_L_X2Y45 SLICEL SLICE[0,0] SLICE_X2Y45 SLICEL SLICE[1,0] SLICE_X3Y45 |
INT_L INT_L_X2Y45 TIEOFF TIEOFF[0,0] TIEOFF_X2Y45 |
INT_R INT_R_X3Y45 TIEOFF TIEOFF[0,0] TIEOFF_X3Y45 |
CLBLM_R CLBLM_R_X3Y45 SLICEM SLICE[0,0] SLICE_X4Y45 SLICEL SLICE[1,0] SLICE_X5Y45 |
VBRK VBRK_X39Y47 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y45 |
INT_L INT_L_X4Y45 TIEOFF TIEOFF[0,0] TIEOFF_X4Y45 |
INT_R INT_R_X5Y45 TIEOFF TIEOFF[0,0] TIEOFF_X5Y45 |
CLBLM_R CLBLM_R_X5Y45 SLICEM SLICE[0,0] SLICE_X6Y45 SLICEL SLICE[1,0] SLICE_X7Y45 |
CLBLM_L CLBLM_L_X6Y45 SLICEM SLICE[0,0] SLICE_X8Y45 SLICEL SLICE[1,0] SLICE_X9Y45 |
INT_L INT_L_X6Y45 TIEOFF TIEOFF[0,0] TIEOFF_X6Y45 |
INT_R INT_R_X7Y45 TIEOFF TIEOFF[0,0] TIEOFF_X7Y45 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y45 |
VBRK VBRK_X50Y47 |
CLBLM_L CLBLM_L_X8Y45 SLICEM SLICE[0,0] SLICE_X10Y45 SLICEL SLICE[1,0] SLICE_X11Y45 |
INT_L INT_L_X8Y45 TIEOFF TIEOFF[0,0] TIEOFF_X9Y45 |
INT_R INT_R_X9Y45 TIEOFF TIEOFF[0,0] TIEOFF_X10Y45 |
CLBLM_R CLBLM_R_X9Y45 SLICEM SLICE[0,0] SLICE_X12Y45 SLICEL SLICE[1,0] SLICE_X13Y45 |
VBRK VBRK_X55Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y47 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y47 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y47 |
VFRAME VFRAME_X68Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y45 |
INT_L INT_L_X10Y45 TIEOFF TIEOFF[0,0] TIEOFF_X11Y45 |
INT_R INT_R_X11Y45 TIEOFF TIEOFF[0,0] TIEOFF_X12Y45 |
CLBLL_R CLBLL_R_X11Y45 SLICEL SLICE[0,0] SLICE_X14Y45 SLICEL SLICE[1,0] SLICE_X15Y45 |
CLBLM_L CLBLM_L_X12Y45 SLICEM SLICE[0,0] SLICE_X16Y45 SLICEL SLICE[1,0] SLICE_X17Y45 |
INT_L INT_L_X12Y45 TIEOFF TIEOFF[0,0] TIEOFF_X13Y45 |
INT_R INT_R_X13Y45 TIEOFF TIEOFF[0,0] TIEOFF_X14Y45 |
CLBLL_R CLBLL_R_X13Y45 SLICEL SLICE[0,0] SLICE_X18Y45 SLICEL SLICE[1,0] SLICE_X19Y45 |
VBRK VBRK_X77Y47 |
CLBLM_L CLBLM_L_X14Y45 SLICEM SLICE[0,0] SLICE_X20Y45 SLICEL SLICE[1,0] SLICE_X21Y45 |
INT_L INT_L_X14Y45 TIEOFF TIEOFF[0,0] TIEOFF_X15Y45 |
INT_R INT_R_X15Y45 TIEOFF TIEOFF[0,0] TIEOFF_X16Y45 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y45 |
CLK_MTBF2 CLK_MTBF2_X82Y47 MTBF2 MTBF2[0,0] MTBF2_X0Y0 |
VBRK VBRK_X83Y47 |
CLBLL_L CLBLL_L_X16Y45 SLICEL SLICE[0,0] SLICE_X22Y45 SLICEL SLICE[1,0] SLICE_X23Y45 |
INT_L INT_L_X16Y45 TIEOFF TIEOFF[0,0] TIEOFF_X17Y45 |
INT_R INT_R_X17Y45 TIEOFF TIEOFF[0,0] TIEOFF_X18Y45 |
CLBLM_R CLBLM_R_X17Y45 SLICEM SLICE[0,0] SLICE_X24Y45 SLICEL SLICE[1,0] SLICE_X25Y45 |
VBRK VBRK_X88Y47 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y45 |
INT_L INT_L_X18Y45 TIEOFF TIEOFF[0,0] TIEOFF_X19Y45 |
INT_R INT_R_X19Y45 TIEOFF TIEOFF[0,0] TIEOFF_X20Y45 |
CLBLL_R CLBLL_R_X19Y45 SLICEL SLICE[0,0] SLICE_X26Y45 SLICEL SLICE[1,0] SLICE_X27Y45 |
CLBLM_L CLBLM_L_X20Y45 SLICEM SLICE[0,0] SLICE_X28Y45 SLICEL SLICE[1,0] SLICE_X29Y45 |
INT_L INT_L_X20Y45 TIEOFF TIEOFF[0,0] TIEOFF_X21Y45 |
INT_R INT_R_X21Y45 TIEOFF TIEOFF[0,0] TIEOFF_X22Y45 |
CLBLM_R CLBLM_R_X21Y45 SLICEM SLICE[0,0] SLICE_X30Y45 SLICEL SLICE[1,0] SLICE_X31Y45 |
VBRK VBRK_X98Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y45 |
INT_L INT_L_X22Y45 TIEOFF TIEOFF[0,0] TIEOFF_X24Y45 |
INT_R INT_R_X23Y45 TIEOFF TIEOFF[0,0] TIEOFF_X25Y45 |
CLBLM_R CLBLM_R_X23Y45 SLICEM SLICE[0,0] SLICE_X32Y45 SLICEL SLICE[1,0] SLICE_X33Y45 |
CLBLM_L CLBLM_L_X24Y45 SLICEM SLICE[0,0] SLICE_X34Y45 SLICEL SLICE[1,0] SLICE_X35Y45 |
INT_L INT_L_X24Y45 TIEOFF TIEOFF[0,0] TIEOFF_X26Y45 |
INT_R INT_R_X25Y45 TIEOFF TIEOFF[0,0] TIEOFF_X27Y45 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y45 |
VBRK VBRK_X109Y47 |
CLBLL_L CLBLL_L_X26Y45 SLICEL SLICE[0,0] SLICE_X36Y45 SLICEL SLICE[1,0] SLICE_X37Y45 |
INT_L INT_L_X26Y45 TIEOFF TIEOFF[0,0] TIEOFF_X28Y45 |
INT_R INT_R_X27Y45 TIEOFF TIEOFF[0,0] TIEOFF_X29Y45 |
CLBLM_R CLBLM_R_X27Y45 SLICEM SLICE[0,0] SLICE_X38Y45 SLICEL SLICE[1,0] SLICE_X39Y45 |
CLBLL_L CLBLL_L_X28Y45 SLICEL SLICE[0,0] SLICE_X40Y45 SLICEL SLICE[1,0] SLICE_X41Y45 |
INT_L INT_L_X28Y45 TIEOFF TIEOFF[0,0] TIEOFF_X30Y45 |
INT_R INT_R_X29Y45 TIEOFF TIEOFF[0,0] TIEOFF_X31Y45 |
CLBLM_R CLBLM_R_X29Y45 SLICEM SLICE[0,0] SLICE_X42Y45 SLICEL SLICE[1,0] SLICE_X43Y45 |
VBRK VBRK_X118Y47 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y45 |
INT_L INT_L_X30Y45 TIEOFF TIEOFF[0,0] TIEOFF_X32Y45 |
INT_R INT_R_X31Y45 TIEOFF TIEOFF[0,0] TIEOFF_X33Y45 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y45 |
R_TERM_INT R_TERM_INT_X125Y47 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y44 |
INT_L INT_L_X0Y44 TIEOFF TIEOFF[0,0] TIEOFF_X0Y44 |
INT_R INT_R_X1Y44 TIEOFF TIEOFF[0,0] TIEOFF_X1Y44 |
CLBLM_R CLBLM_R_X1Y44 SLICEM SLICE[0,0] SLICE_X0Y44 SLICEL SLICE[1,0] SLICE_X1Y44 |
CLBLL_L CLBLL_L_X2Y44 SLICEL SLICE[0,0] SLICE_X2Y44 SLICEL SLICE[1,0] SLICE_X3Y44 |
INT_L INT_L_X2Y44 TIEOFF TIEOFF[0,0] TIEOFF_X2Y44 |
INT_R INT_R_X3Y44 TIEOFF TIEOFF[0,0] TIEOFF_X3Y44 |
CLBLM_R CLBLM_R_X3Y44 SLICEM SLICE[0,0] SLICE_X4Y44 SLICEL SLICE[1,0] SLICE_X5Y44 |
VBRK VBRK_X39Y46 |
BRAM_L BRAM_L_X4Y40 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y8 FIFO18E1 RAMB18[0,0] RAMB18_X0Y16 RAMB18E1 RAMB18[0,1] RAMB18_X0Y17 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y44 |
INT_L INT_L_X4Y44 TIEOFF TIEOFF[0,0] TIEOFF_X4Y44 |
INT_R INT_R_X5Y44 TIEOFF TIEOFF[0,0] TIEOFF_X5Y44 |
CLBLM_R CLBLM_R_X5Y44 SLICEM SLICE[0,0] SLICE_X6Y44 SLICEL SLICE[1,0] SLICE_X7Y44 |
CLBLM_L CLBLM_L_X6Y44 SLICEM SLICE[0,0] SLICE_X8Y44 SLICEL SLICE[1,0] SLICE_X9Y44 |
INT_L INT_L_X6Y44 TIEOFF TIEOFF[0,0] TIEOFF_X6Y44 |
INT_R INT_R_X7Y44 TIEOFF TIEOFF[0,0] TIEOFF_X7Y44 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y44 |
DSP_R DSP_R_X7Y40 TIEOFF TIEOFF[0,0] TIEOFF_X8Y40 DSP48E1 DSP48[0,0] DSP48_X0Y16 DSP48E1 DSP48[0,1] DSP48_X0Y17 |
VBRK VBRK_X50Y46 |
CLBLM_L CLBLM_L_X8Y44 SLICEM SLICE[0,0] SLICE_X10Y44 SLICEL SLICE[1,0] SLICE_X11Y44 |
INT_L INT_L_X8Y44 TIEOFF TIEOFF[0,0] TIEOFF_X9Y44 |
INT_R INT_R_X9Y44 TIEOFF TIEOFF[0,0] TIEOFF_X10Y44 |
CLBLM_R CLBLM_R_X9Y44 SLICEM SLICE[0,0] SLICE_X12Y44 SLICEL SLICE[1,0] SLICE_X13Y44 |
VBRK VBRK_X55Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y46 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y46 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y46 |
VFRAME VFRAME_X68Y46 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y44 |
INT_L INT_L_X10Y44 TIEOFF TIEOFF[0,0] TIEOFF_X11Y44 |
INT_R INT_R_X11Y44 TIEOFF TIEOFF[0,0] TIEOFF_X12Y44 |
CLBLL_R CLBLL_R_X11Y44 SLICEL SLICE[0,0] SLICE_X14Y44 SLICEL SLICE[1,0] SLICE_X15Y44 |
CLBLM_L CLBLM_L_X12Y44 SLICEM SLICE[0,0] SLICE_X16Y44 SLICEL SLICE[1,0] SLICE_X17Y44 |
INT_L INT_L_X12Y44 TIEOFF TIEOFF[0,0] TIEOFF_X13Y44 |
INT_R INT_R_X13Y44 TIEOFF TIEOFF[0,0] TIEOFF_X14Y44 |
CLBLL_R CLBLL_R_X13Y44 SLICEL SLICE[0,0] SLICE_X18Y44 SLICEL SLICE[1,0] SLICE_X19Y44 |
VBRK VBRK_X77Y46 |
CLBLM_L CLBLM_L_X14Y44 SLICEM SLICE[0,0] SLICE_X20Y44 SLICEL SLICE[1,0] SLICE_X21Y44 |
INT_L INT_L_X14Y44 TIEOFF TIEOFF[0,0] TIEOFF_X15Y44 |
INT_R INT_R_X15Y44 TIEOFF TIEOFF[0,0] TIEOFF_X16Y44 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y44 |
CLK_FEED CLK_FEED_X82Y46 |
VBRK VBRK_X83Y46 |
CLBLL_L CLBLL_L_X16Y44 SLICEL SLICE[0,0] SLICE_X22Y44 SLICEL SLICE[1,0] SLICE_X23Y44 |
INT_L INT_L_X16Y44 TIEOFF TIEOFF[0,0] TIEOFF_X17Y44 |
INT_R INT_R_X17Y44 TIEOFF TIEOFF[0,0] TIEOFF_X18Y44 |
CLBLM_R CLBLM_R_X17Y44 SLICEM SLICE[0,0] SLICE_X24Y44 SLICEL SLICE[1,0] SLICE_X25Y44 |
VBRK VBRK_X88Y46 |
BRAM_L BRAM_L_X18Y40 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y8 FIFO18E1 RAMB18[0,0] RAMB18_X1Y16 RAMB18E1 RAMB18[0,1] RAMB18_X1Y17 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y44 |
INT_L INT_L_X18Y44 TIEOFF TIEOFF[0,0] TIEOFF_X19Y44 |
INT_R INT_R_X19Y44 TIEOFF TIEOFF[0,0] TIEOFF_X20Y44 |
CLBLL_R CLBLL_R_X19Y44 SLICEL SLICE[0,0] SLICE_X26Y44 SLICEL SLICE[1,0] SLICE_X27Y44 |
CLBLM_L CLBLM_L_X20Y44 SLICEM SLICE[0,0] SLICE_X28Y44 SLICEL SLICE[1,0] SLICE_X29Y44 |
INT_L INT_L_X20Y44 TIEOFF TIEOFF[0,0] TIEOFF_X21Y44 |
INT_R INT_R_X21Y44 TIEOFF TIEOFF[0,0] TIEOFF_X22Y44 |
CLBLM_R CLBLM_R_X21Y44 SLICEM SLICE[0,0] SLICE_X30Y44 SLICEL SLICE[1,0] SLICE_X31Y44 |
VBRK VBRK_X98Y46 |
DSP_L DSP_L_X22Y40 TIEOFF TIEOFF[0,0] TIEOFF_X23Y40 DSP48E1 DSP48[0,0] DSP48_X1Y16 DSP48E1 DSP48[0,1] DSP48_X1Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y44 |
INT_L INT_L_X22Y44 TIEOFF TIEOFF[0,0] TIEOFF_X24Y44 |
INT_R INT_R_X23Y44 TIEOFF TIEOFF[0,0] TIEOFF_X25Y44 |
CLBLM_R CLBLM_R_X23Y44 SLICEM SLICE[0,0] SLICE_X32Y44 SLICEL SLICE[1,0] SLICE_X33Y44 |
CLBLM_L CLBLM_L_X24Y44 SLICEM SLICE[0,0] SLICE_X34Y44 SLICEL SLICE[1,0] SLICE_X35Y44 |
INT_L INT_L_X24Y44 TIEOFF TIEOFF[0,0] TIEOFF_X26Y44 |
INT_R INT_R_X25Y44 TIEOFF TIEOFF[0,0] TIEOFF_X27Y44 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y44 |
BRAM_R BRAM_R_X25Y40 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y8 FIFO18E1 RAMB18[0,0] RAMB18_X2Y16 RAMB18E1 RAMB18[0,1] RAMB18_X2Y17 |
VBRK VBRK_X109Y46 |
CLBLL_L CLBLL_L_X26Y44 SLICEL SLICE[0,0] SLICE_X36Y44 SLICEL SLICE[1,0] SLICE_X37Y44 |
INT_L INT_L_X26Y44 TIEOFF TIEOFF[0,0] TIEOFF_X28Y44 |
INT_R INT_R_X27Y44 TIEOFF TIEOFF[0,0] TIEOFF_X29Y44 |
CLBLM_R CLBLM_R_X27Y44 SLICEM SLICE[0,0] SLICE_X38Y44 SLICEL SLICE[1,0] SLICE_X39Y44 |
CLBLL_L CLBLL_L_X28Y44 SLICEL SLICE[0,0] SLICE_X40Y44 SLICEL SLICE[1,0] SLICE_X41Y44 |
INT_L INT_L_X28Y44 TIEOFF TIEOFF[0,0] TIEOFF_X30Y44 |
INT_R INT_R_X29Y44 TIEOFF TIEOFF[0,0] TIEOFF_X31Y44 |
CLBLM_R CLBLM_R_X29Y44 SLICEM SLICE[0,0] SLICE_X42Y44 SLICEL SLICE[1,0] SLICE_X43Y44 |
VBRK VBRK_X118Y46 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y44 |
INT_L INT_L_X30Y44 TIEOFF TIEOFF[0,0] TIEOFF_X32Y44 |
INT_R INT_R_X31Y44 TIEOFF TIEOFF[0,0] TIEOFF_X33Y44 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y44 |
R_TERM_INT R_TERM_INT_X125Y46 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y43 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y43 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y44 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y43 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y44 IDELAYE2 IDELAY[0,0] IDELAY_X0Y43 IDELAYE2 IDELAY[0,1] IDELAY_X0Y44 |
RIOB33 RIOB33_X31Y43 IOB33S IOB[0,0] IOB_X0Y43 IOB33M IOB[0,1] IOB_X0Y44 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y43 |
INT_L INT_L_X0Y43 TIEOFF TIEOFF[0,0] TIEOFF_X0Y43 |
INT_R INT_R_X1Y43 TIEOFF TIEOFF[0,0] TIEOFF_X1Y43 |
CLBLM_R CLBLM_R_X1Y43 SLICEM SLICE[0,0] SLICE_X0Y43 SLICEL SLICE[1,0] SLICE_X1Y43 |
CLBLL_L CLBLL_L_X2Y43 SLICEL SLICE[0,0] SLICE_X2Y43 SLICEL SLICE[1,0] SLICE_X3Y43 |
INT_L INT_L_X2Y43 TIEOFF TIEOFF[0,0] TIEOFF_X2Y43 |
INT_R INT_R_X3Y43 TIEOFF TIEOFF[0,0] TIEOFF_X3Y43 |
CLBLM_R CLBLM_R_X3Y43 SLICEM SLICE[0,0] SLICE_X4Y43 SLICEL SLICE[1,0] SLICE_X5Y43 |
VBRK VBRK_X39Y45 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y43 |
INT_L INT_L_X4Y43 TIEOFF TIEOFF[0,0] TIEOFF_X4Y43 |
INT_R INT_R_X5Y43 TIEOFF TIEOFF[0,0] TIEOFF_X5Y43 |
CLBLM_R CLBLM_R_X5Y43 SLICEM SLICE[0,0] SLICE_X6Y43 SLICEL SLICE[1,0] SLICE_X7Y43 |
CLBLM_L CLBLM_L_X6Y43 SLICEM SLICE[0,0] SLICE_X8Y43 SLICEL SLICE[1,0] SLICE_X9Y43 |
INT_L INT_L_X6Y43 TIEOFF TIEOFF[0,0] TIEOFF_X6Y43 |
INT_R INT_R_X7Y43 TIEOFF TIEOFF[0,0] TIEOFF_X7Y43 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y43 |
VBRK VBRK_X50Y45 |
CLBLM_L CLBLM_L_X8Y43 SLICEM SLICE[0,0] SLICE_X10Y43 SLICEL SLICE[1,0] SLICE_X11Y43 |
INT_L INT_L_X8Y43 TIEOFF TIEOFF[0,0] TIEOFF_X9Y43 |
INT_R INT_R_X9Y43 TIEOFF TIEOFF[0,0] TIEOFF_X10Y43 |
CLBLM_R CLBLM_R_X9Y43 SLICEM SLICE[0,0] SLICE_X12Y43 SLICEL SLICE[1,0] SLICE_X13Y43 |
VBRK VBRK_X55Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y45 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y45 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y45 |
VFRAME VFRAME_X68Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y43 |
INT_L INT_L_X10Y43 TIEOFF TIEOFF[0,0] TIEOFF_X11Y43 |
INT_R INT_R_X11Y43 TIEOFF TIEOFF[0,0] TIEOFF_X12Y43 |
CLBLL_R CLBLL_R_X11Y43 SLICEL SLICE[0,0] SLICE_X14Y43 SLICEL SLICE[1,0] SLICE_X15Y43 |
CLBLM_L CLBLM_L_X12Y43 SLICEM SLICE[0,0] SLICE_X16Y43 SLICEL SLICE[1,0] SLICE_X17Y43 |
INT_L INT_L_X12Y43 TIEOFF TIEOFF[0,0] TIEOFF_X13Y43 |
INT_R INT_R_X13Y43 TIEOFF TIEOFF[0,0] TIEOFF_X14Y43 |
CLBLL_R CLBLL_R_X13Y43 SLICEL SLICE[0,0] SLICE_X18Y43 SLICEL SLICE[1,0] SLICE_X19Y43 |
VBRK VBRK_X77Y45 |
CLBLM_L CLBLM_L_X14Y43 SLICEM SLICE[0,0] SLICE_X20Y43 SLICEL SLICE[1,0] SLICE_X21Y43 |
INT_L INT_L_X14Y43 TIEOFF TIEOFF[0,0] TIEOFF_X15Y43 |
INT_R INT_R_X15Y43 TIEOFF TIEOFF[0,0] TIEOFF_X16Y43 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y43 |
CLK_FEED CLK_FEED_X82Y45 |
VBRK VBRK_X83Y45 |
CLBLL_L CLBLL_L_X16Y43 SLICEL SLICE[0,0] SLICE_X22Y43 SLICEL SLICE[1,0] SLICE_X23Y43 |
INT_L INT_L_X16Y43 TIEOFF TIEOFF[0,0] TIEOFF_X17Y43 |
INT_R INT_R_X17Y43 TIEOFF TIEOFF[0,0] TIEOFF_X18Y43 |
CLBLM_R CLBLM_R_X17Y43 SLICEM SLICE[0,0] SLICE_X24Y43 SLICEL SLICE[1,0] SLICE_X25Y43 |
VBRK VBRK_X88Y45 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y43 |
INT_L INT_L_X18Y43 TIEOFF TIEOFF[0,0] TIEOFF_X19Y43 |
INT_R INT_R_X19Y43 TIEOFF TIEOFF[0,0] TIEOFF_X20Y43 |
CLBLL_R CLBLL_R_X19Y43 SLICEL SLICE[0,0] SLICE_X26Y43 SLICEL SLICE[1,0] SLICE_X27Y43 |
CLBLM_L CLBLM_L_X20Y43 SLICEM SLICE[0,0] SLICE_X28Y43 SLICEL SLICE[1,0] SLICE_X29Y43 |
INT_L INT_L_X20Y43 TIEOFF TIEOFF[0,0] TIEOFF_X21Y43 |
INT_R INT_R_X21Y43 TIEOFF TIEOFF[0,0] TIEOFF_X22Y43 |
CLBLM_R CLBLM_R_X21Y43 SLICEM SLICE[0,0] SLICE_X30Y43 SLICEL SLICE[1,0] SLICE_X31Y43 |
VBRK VBRK_X98Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y43 |
INT_L INT_L_X22Y43 TIEOFF TIEOFF[0,0] TIEOFF_X24Y43 |
INT_R INT_R_X23Y43 TIEOFF TIEOFF[0,0] TIEOFF_X25Y43 |
CLBLM_R CLBLM_R_X23Y43 SLICEM SLICE[0,0] SLICE_X32Y43 SLICEL SLICE[1,0] SLICE_X33Y43 |
CLBLM_L CLBLM_L_X24Y43 SLICEM SLICE[0,0] SLICE_X34Y43 SLICEL SLICE[1,0] SLICE_X35Y43 |
INT_L INT_L_X24Y43 TIEOFF TIEOFF[0,0] TIEOFF_X26Y43 |
INT_R INT_R_X25Y43 TIEOFF TIEOFF[0,0] TIEOFF_X27Y43 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y43 |
VBRK VBRK_X109Y45 |
CLBLL_L CLBLL_L_X26Y43 SLICEL SLICE[0,0] SLICE_X36Y43 SLICEL SLICE[1,0] SLICE_X37Y43 |
INT_L INT_L_X26Y43 TIEOFF TIEOFF[0,0] TIEOFF_X28Y43 |
INT_R INT_R_X27Y43 TIEOFF TIEOFF[0,0] TIEOFF_X29Y43 |
CLBLM_R CLBLM_R_X27Y43 SLICEM SLICE[0,0] SLICE_X38Y43 SLICEL SLICE[1,0] SLICE_X39Y43 |
CLBLL_L CLBLL_L_X28Y43 SLICEL SLICE[0,0] SLICE_X40Y43 SLICEL SLICE[1,0] SLICE_X41Y43 |
INT_L INT_L_X28Y43 TIEOFF TIEOFF[0,0] TIEOFF_X30Y43 |
INT_R INT_R_X29Y43 TIEOFF TIEOFF[0,0] TIEOFF_X31Y43 |
CLBLM_R CLBLM_R_X29Y43 SLICEM SLICE[0,0] SLICE_X42Y43 SLICEL SLICE[1,0] SLICE_X43Y43 |
VBRK VBRK_X118Y45 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y43 |
INT_L INT_L_X30Y43 TIEOFF TIEOFF[0,0] TIEOFF_X32Y43 |
INT_R INT_R_X31Y43 TIEOFF TIEOFF[0,0] TIEOFF_X33Y43 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y43 |
R_TERM_INT R_TERM_INT_X125Y45 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y42 |
INT_L INT_L_X0Y42 TIEOFF TIEOFF[0,0] TIEOFF_X0Y42 |
INT_R INT_R_X1Y42 TIEOFF TIEOFF[0,0] TIEOFF_X1Y42 |
CLBLM_R CLBLM_R_X1Y42 SLICEM SLICE[0,0] SLICE_X0Y42 SLICEL SLICE[1,0] SLICE_X1Y42 |
CLBLL_L CLBLL_L_X2Y42 SLICEL SLICE[0,0] SLICE_X2Y42 SLICEL SLICE[1,0] SLICE_X3Y42 |
INT_L INT_L_X2Y42 TIEOFF TIEOFF[0,0] TIEOFF_X2Y42 |
INT_R INT_R_X3Y42 TIEOFF TIEOFF[0,0] TIEOFF_X3Y42 |
CLBLM_R CLBLM_R_X3Y42 SLICEM SLICE[0,0] SLICE_X4Y42 SLICEL SLICE[1,0] SLICE_X5Y42 |
VBRK VBRK_X39Y44 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y42 |
INT_L INT_L_X4Y42 TIEOFF TIEOFF[0,0] TIEOFF_X4Y42 |
INT_R INT_R_X5Y42 TIEOFF TIEOFF[0,0] TIEOFF_X5Y42 |
CLBLM_R CLBLM_R_X5Y42 SLICEM SLICE[0,0] SLICE_X6Y42 SLICEL SLICE[1,0] SLICE_X7Y42 |
CLBLM_L CLBLM_L_X6Y42 SLICEM SLICE[0,0] SLICE_X8Y42 SLICEL SLICE[1,0] SLICE_X9Y42 |
INT_L INT_L_X6Y42 TIEOFF TIEOFF[0,0] TIEOFF_X6Y42 |
INT_R INT_R_X7Y42 TIEOFF TIEOFF[0,0] TIEOFF_X7Y42 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y42 |
VBRK VBRK_X50Y44 |
CLBLM_L CLBLM_L_X8Y42 SLICEM SLICE[0,0] SLICE_X10Y42 SLICEL SLICE[1,0] SLICE_X11Y42 |
INT_L INT_L_X8Y42 TIEOFF TIEOFF[0,0] TIEOFF_X9Y42 |
INT_R INT_R_X9Y42 TIEOFF TIEOFF[0,0] TIEOFF_X10Y42 |
CLBLM_R CLBLM_R_X9Y42 SLICEM SLICE[0,0] SLICE_X12Y42 SLICEL SLICE[1,0] SLICE_X13Y42 |
VBRK VBRK_X55Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y44 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y44 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y44 |
VFRAME VFRAME_X68Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y42 |
INT_L INT_L_X10Y42 TIEOFF TIEOFF[0,0] TIEOFF_X11Y42 |
INT_R INT_R_X11Y42 TIEOFF TIEOFF[0,0] TIEOFF_X12Y42 |
CLBLL_R CLBLL_R_X11Y42 SLICEL SLICE[0,0] SLICE_X14Y42 SLICEL SLICE[1,0] SLICE_X15Y42 |
CLBLM_L CLBLM_L_X12Y42 SLICEM SLICE[0,0] SLICE_X16Y42 SLICEL SLICE[1,0] SLICE_X17Y42 |
INT_L INT_L_X12Y42 TIEOFF TIEOFF[0,0] TIEOFF_X13Y42 |
INT_R INT_R_X13Y42 TIEOFF TIEOFF[0,0] TIEOFF_X14Y42 |
CLBLL_R CLBLL_R_X13Y42 SLICEL SLICE[0,0] SLICE_X18Y42 SLICEL SLICE[1,0] SLICE_X19Y42 |
VBRK VBRK_X77Y44 |
CLBLM_L CLBLM_L_X14Y42 SLICEM SLICE[0,0] SLICE_X20Y42 SLICEL SLICE[1,0] SLICE_X21Y42 |
INT_L INT_L_X14Y42 TIEOFF TIEOFF[0,0] TIEOFF_X15Y42 |
INT_R INT_R_X15Y42 TIEOFF TIEOFF[0,0] TIEOFF_X16Y42 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y42 |
CLK_FEED CLK_FEED_X82Y44 |
VBRK VBRK_X83Y44 |
CLBLL_L CLBLL_L_X16Y42 SLICEL SLICE[0,0] SLICE_X22Y42 SLICEL SLICE[1,0] SLICE_X23Y42 |
INT_L INT_L_X16Y42 TIEOFF TIEOFF[0,0] TIEOFF_X17Y42 |
INT_R INT_R_X17Y42 TIEOFF TIEOFF[0,0] TIEOFF_X18Y42 |
CLBLM_R CLBLM_R_X17Y42 SLICEM SLICE[0,0] SLICE_X24Y42 SLICEL SLICE[1,0] SLICE_X25Y42 |
VBRK VBRK_X88Y44 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y42 |
INT_L INT_L_X18Y42 TIEOFF TIEOFF[0,0] TIEOFF_X19Y42 |
INT_R INT_R_X19Y42 TIEOFF TIEOFF[0,0] TIEOFF_X20Y42 |
CLBLL_R CLBLL_R_X19Y42 SLICEL SLICE[0,0] SLICE_X26Y42 SLICEL SLICE[1,0] SLICE_X27Y42 |
CLBLM_L CLBLM_L_X20Y42 SLICEM SLICE[0,0] SLICE_X28Y42 SLICEL SLICE[1,0] SLICE_X29Y42 |
INT_L INT_L_X20Y42 TIEOFF TIEOFF[0,0] TIEOFF_X21Y42 |
INT_R INT_R_X21Y42 TIEOFF TIEOFF[0,0] TIEOFF_X22Y42 |
CLBLM_R CLBLM_R_X21Y42 SLICEM SLICE[0,0] SLICE_X30Y42 SLICEL SLICE[1,0] SLICE_X31Y42 |
VBRK VBRK_X98Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y42 |
INT_L INT_L_X22Y42 TIEOFF TIEOFF[0,0] TIEOFF_X24Y42 |
INT_R INT_R_X23Y42 TIEOFF TIEOFF[0,0] TIEOFF_X25Y42 |
CLBLM_R CLBLM_R_X23Y42 SLICEM SLICE[0,0] SLICE_X32Y42 SLICEL SLICE[1,0] SLICE_X33Y42 |
CLBLM_L CLBLM_L_X24Y42 SLICEM SLICE[0,0] SLICE_X34Y42 SLICEL SLICE[1,0] SLICE_X35Y42 |
INT_L INT_L_X24Y42 TIEOFF TIEOFF[0,0] TIEOFF_X26Y42 |
INT_R INT_R_X25Y42 TIEOFF TIEOFF[0,0] TIEOFF_X27Y42 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y42 |
VBRK VBRK_X109Y44 |
CLBLL_L CLBLL_L_X26Y42 SLICEL SLICE[0,0] SLICE_X36Y42 SLICEL SLICE[1,0] SLICE_X37Y42 |
INT_L INT_L_X26Y42 TIEOFF TIEOFF[0,0] TIEOFF_X28Y42 |
INT_R INT_R_X27Y42 TIEOFF TIEOFF[0,0] TIEOFF_X29Y42 |
CLBLM_R CLBLM_R_X27Y42 SLICEM SLICE[0,0] SLICE_X38Y42 SLICEL SLICE[1,0] SLICE_X39Y42 |
CLBLL_L CLBLL_L_X28Y42 SLICEL SLICE[0,0] SLICE_X40Y42 SLICEL SLICE[1,0] SLICE_X41Y42 |
INT_L INT_L_X28Y42 TIEOFF TIEOFF[0,0] TIEOFF_X30Y42 |
INT_R INT_R_X29Y42 TIEOFF TIEOFF[0,0] TIEOFF_X31Y42 |
CLBLM_R CLBLM_R_X29Y42 SLICEM SLICE[0,0] SLICE_X42Y42 SLICEL SLICE[1,0] SLICE_X43Y42 |
VBRK VBRK_X118Y44 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y42 |
INT_L INT_L_X30Y42 TIEOFF TIEOFF[0,0] TIEOFF_X32Y42 |
INT_R INT_R_X31Y42 TIEOFF TIEOFF[0,0] TIEOFF_X33Y42 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y42 |
R_TERM_INT R_TERM_INT_X125Y44 |
RIOI3 RIOI3_X31Y41 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y41 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y42 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y41 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y42 IDELAYE2 IDELAY[0,0] IDELAY_X0Y41 IDELAYE2 IDELAY[0,1] IDELAY_X0Y42 |
RIOB33 RIOB33_X31Y41 IOB33S IOB[0,0] IOB_X0Y41 IOB33M IOB[0,1] IOB_X0Y42 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y41 |
INT_L INT_L_X0Y41 TIEOFF TIEOFF[0,0] TIEOFF_X0Y41 |
INT_R INT_R_X1Y41 TIEOFF TIEOFF[0,0] TIEOFF_X1Y41 |
CLBLM_R CLBLM_R_X1Y41 SLICEM SLICE[0,0] SLICE_X0Y41 SLICEL SLICE[1,0] SLICE_X1Y41 |
CLBLL_L CLBLL_L_X2Y41 SLICEL SLICE[0,0] SLICE_X2Y41 SLICEL SLICE[1,0] SLICE_X3Y41 |
INT_L INT_L_X2Y41 TIEOFF TIEOFF[0,0] TIEOFF_X2Y41 |
INT_R INT_R_X3Y41 TIEOFF TIEOFF[0,0] TIEOFF_X3Y41 |
CLBLM_R CLBLM_R_X3Y41 SLICEM SLICE[0,0] SLICE_X4Y41 SLICEL SLICE[1,0] SLICE_X5Y41 |
VBRK VBRK_X39Y43 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y41 |
INT_L INT_L_X4Y41 TIEOFF TIEOFF[0,0] TIEOFF_X4Y41 |
INT_R INT_R_X5Y41 TIEOFF TIEOFF[0,0] TIEOFF_X5Y41 |
CLBLM_R CLBLM_R_X5Y41 SLICEM SLICE[0,0] SLICE_X6Y41 SLICEL SLICE[1,0] SLICE_X7Y41 |
CLBLM_L CLBLM_L_X6Y41 SLICEM SLICE[0,0] SLICE_X8Y41 SLICEL SLICE[1,0] SLICE_X9Y41 |
INT_L INT_L_X6Y41 TIEOFF TIEOFF[0,0] TIEOFF_X6Y41 |
INT_R INT_R_X7Y41 TIEOFF TIEOFF[0,0] TIEOFF_X7Y41 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y41 |
VBRK VBRK_X50Y43 |
CLBLM_L CLBLM_L_X8Y41 SLICEM SLICE[0,0] SLICE_X10Y41 SLICEL SLICE[1,0] SLICE_X11Y41 |
INT_L INT_L_X8Y41 TIEOFF TIEOFF[0,0] TIEOFF_X9Y41 |
INT_R INT_R_X9Y41 TIEOFF TIEOFF[0,0] TIEOFF_X10Y41 |
CLBLM_R CLBLM_R_X9Y41 SLICEM SLICE[0,0] SLICE_X12Y41 SLICEL SLICE[1,0] SLICE_X13Y41 |
VBRK VBRK_X55Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y43 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y43 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y43 |
VFRAME VFRAME_X68Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y41 |
INT_L INT_L_X10Y41 TIEOFF TIEOFF[0,0] TIEOFF_X11Y41 |
INT_R INT_R_X11Y41 TIEOFF TIEOFF[0,0] TIEOFF_X12Y41 |
CLBLL_R CLBLL_R_X11Y41 SLICEL SLICE[0,0] SLICE_X14Y41 SLICEL SLICE[1,0] SLICE_X15Y41 |
CLBLM_L CLBLM_L_X12Y41 SLICEM SLICE[0,0] SLICE_X16Y41 SLICEL SLICE[1,0] SLICE_X17Y41 |
INT_L INT_L_X12Y41 TIEOFF TIEOFF[0,0] TIEOFF_X13Y41 |
INT_R INT_R_X13Y41 TIEOFF TIEOFF[0,0] TIEOFF_X14Y41 |
CLBLL_R CLBLL_R_X13Y41 SLICEL SLICE[0,0] SLICE_X18Y41 SLICEL SLICE[1,0] SLICE_X19Y41 |
VBRK VBRK_X77Y43 |
CLBLM_L CLBLM_L_X14Y41 SLICEM SLICE[0,0] SLICE_X20Y41 SLICEL SLICE[1,0] SLICE_X21Y41 |
INT_L INT_L_X14Y41 TIEOFF TIEOFF[0,0] TIEOFF_X15Y41 |
INT_R INT_R_X15Y41 TIEOFF TIEOFF[0,0] TIEOFF_X16Y41 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y41 |
CLK_PMV2 CLK_PMV2_X82Y43 PMV2 PMV[0,0] PMV_X0Y2 |
VBRK VBRK_X83Y43 |
CLBLL_L CLBLL_L_X16Y41 SLICEL SLICE[0,0] SLICE_X22Y41 SLICEL SLICE[1,0] SLICE_X23Y41 |
INT_L INT_L_X16Y41 TIEOFF TIEOFF[0,0] TIEOFF_X17Y41 |
INT_R INT_R_X17Y41 TIEOFF TIEOFF[0,0] TIEOFF_X18Y41 |
CLBLM_R CLBLM_R_X17Y41 SLICEM SLICE[0,0] SLICE_X24Y41 SLICEL SLICE[1,0] SLICE_X25Y41 |
VBRK VBRK_X88Y43 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y41 |
INT_L INT_L_X18Y41 TIEOFF TIEOFF[0,0] TIEOFF_X19Y41 |
INT_R INT_R_X19Y41 TIEOFF TIEOFF[0,0] TIEOFF_X20Y41 |
CLBLL_R CLBLL_R_X19Y41 SLICEL SLICE[0,0] SLICE_X26Y41 SLICEL SLICE[1,0] SLICE_X27Y41 |
CLBLM_L CLBLM_L_X20Y41 SLICEM SLICE[0,0] SLICE_X28Y41 SLICEL SLICE[1,0] SLICE_X29Y41 |
INT_L INT_L_X20Y41 TIEOFF TIEOFF[0,0] TIEOFF_X21Y41 |
INT_R INT_R_X21Y41 TIEOFF TIEOFF[0,0] TIEOFF_X22Y41 |
CLBLM_R CLBLM_R_X21Y41 SLICEM SLICE[0,0] SLICE_X30Y41 SLICEL SLICE[1,0] SLICE_X31Y41 |
VBRK VBRK_X98Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y41 |
INT_L INT_L_X22Y41 TIEOFF TIEOFF[0,0] TIEOFF_X24Y41 |
INT_R INT_R_X23Y41 TIEOFF TIEOFF[0,0] TIEOFF_X25Y41 |
CLBLM_R CLBLM_R_X23Y41 SLICEM SLICE[0,0] SLICE_X32Y41 SLICEL SLICE[1,0] SLICE_X33Y41 |
CLBLM_L CLBLM_L_X24Y41 SLICEM SLICE[0,0] SLICE_X34Y41 SLICEL SLICE[1,0] SLICE_X35Y41 |
INT_L INT_L_X24Y41 TIEOFF TIEOFF[0,0] TIEOFF_X26Y41 |
INT_R INT_R_X25Y41 TIEOFF TIEOFF[0,0] TIEOFF_X27Y41 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y41 |
VBRK VBRK_X109Y43 |
CLBLL_L CLBLL_L_X26Y41 SLICEL SLICE[0,0] SLICE_X36Y41 SLICEL SLICE[1,0] SLICE_X37Y41 |
INT_L INT_L_X26Y41 TIEOFF TIEOFF[0,0] TIEOFF_X28Y41 |
INT_R INT_R_X27Y41 TIEOFF TIEOFF[0,0] TIEOFF_X29Y41 |
CLBLM_R CLBLM_R_X27Y41 SLICEM SLICE[0,0] SLICE_X38Y41 SLICEL SLICE[1,0] SLICE_X39Y41 |
CLBLL_L CLBLL_L_X28Y41 SLICEL SLICE[0,0] SLICE_X40Y41 SLICEL SLICE[1,0] SLICE_X41Y41 |
INT_L INT_L_X28Y41 TIEOFF TIEOFF[0,0] TIEOFF_X30Y41 |
INT_R INT_R_X29Y41 TIEOFF TIEOFF[0,0] TIEOFF_X31Y41 |
CLBLM_R CLBLM_R_X29Y41 SLICEM SLICE[0,0] SLICE_X42Y41 SLICEL SLICE[1,0] SLICE_X43Y41 |
VBRK VBRK_X118Y43 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y41 |
INT_L INT_L_X30Y41 TIEOFF TIEOFF[0,0] TIEOFF_X32Y41 |
INT_R INT_R_X31Y41 TIEOFF TIEOFF[0,0] TIEOFF_X33Y41 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y41 |
R_TERM_INT R_TERM_INT_X125Y43 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y40 |
INT_L INT_L_X0Y40 TIEOFF TIEOFF[0,0] TIEOFF_X0Y40 |
INT_R INT_R_X1Y40 TIEOFF TIEOFF[0,0] TIEOFF_X1Y40 |
CLBLM_R CLBLM_R_X1Y40 SLICEM SLICE[0,0] SLICE_X0Y40 SLICEL SLICE[1,0] SLICE_X1Y40 |
CLBLL_L CLBLL_L_X2Y40 SLICEL SLICE[0,0] SLICE_X2Y40 SLICEL SLICE[1,0] SLICE_X3Y40 |
INT_L INT_L_X2Y40 TIEOFF TIEOFF[0,0] TIEOFF_X2Y40 |
INT_R INT_R_X3Y40 TIEOFF TIEOFF[0,0] TIEOFF_X3Y40 |
CLBLM_R CLBLM_R_X3Y40 SLICEM SLICE[0,0] SLICE_X4Y40 SLICEL SLICE[1,0] SLICE_X5Y40 |
VBRK VBRK_X39Y42 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y40 |
INT_L INT_L_X4Y40 TIEOFF TIEOFF[0,0] TIEOFF_X4Y40 |
INT_R INT_R_X5Y40 TIEOFF TIEOFF[0,0] TIEOFF_X5Y40 |
CLBLM_R CLBLM_R_X5Y40 SLICEM SLICE[0,0] SLICE_X6Y40 SLICEL SLICE[1,0] SLICE_X7Y40 |
CLBLM_L CLBLM_L_X6Y40 SLICEM SLICE[0,0] SLICE_X8Y40 SLICEL SLICE[1,0] SLICE_X9Y40 |
INT_L INT_L_X6Y40 TIEOFF TIEOFF[0,0] TIEOFF_X6Y40 |
INT_R INT_R_X7Y40 TIEOFF TIEOFF[0,0] TIEOFF_X7Y40 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y40 |
VBRK VBRK_X50Y42 |
CLBLM_L CLBLM_L_X8Y40 SLICEM SLICE[0,0] SLICE_X10Y40 SLICEL SLICE[1,0] SLICE_X11Y40 |
INT_L INT_L_X8Y40 TIEOFF TIEOFF[0,0] TIEOFF_X9Y40 |
INT_R INT_R_X9Y40 TIEOFF TIEOFF[0,0] TIEOFF_X10Y40 |
CLBLM_R CLBLM_R_X9Y40 SLICEM SLICE[0,0] SLICE_X12Y40 SLICEL SLICE[1,0] SLICE_X13Y40 |
VBRK VBRK_X55Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y42 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y42 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y42 |
VFRAME VFRAME_X68Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y40 |
INT_L INT_L_X10Y40 TIEOFF TIEOFF[0,0] TIEOFF_X11Y40 |
INT_R INT_R_X11Y40 TIEOFF TIEOFF[0,0] TIEOFF_X12Y40 |
CLBLL_R CLBLL_R_X11Y40 SLICEL SLICE[0,0] SLICE_X14Y40 SLICEL SLICE[1,0] SLICE_X15Y40 |
CLBLM_L CLBLM_L_X12Y40 SLICEM SLICE[0,0] SLICE_X16Y40 SLICEL SLICE[1,0] SLICE_X17Y40 |
INT_L INT_L_X12Y40 TIEOFF TIEOFF[0,0] TIEOFF_X13Y40 |
INT_R INT_R_X13Y40 TIEOFF TIEOFF[0,0] TIEOFF_X14Y40 |
CLBLL_R CLBLL_R_X13Y40 SLICEL SLICE[0,0] SLICE_X18Y40 SLICEL SLICE[1,0] SLICE_X19Y40 |
VBRK VBRK_X77Y42 |
CLBLM_L CLBLM_L_X14Y40 SLICEM SLICE[0,0] SLICE_X20Y40 SLICEL SLICE[1,0] SLICE_X21Y40 |
INT_L INT_L_X14Y40 TIEOFF TIEOFF[0,0] TIEOFF_X15Y40 |
INT_R INT_R_X15Y40 TIEOFF TIEOFF[0,0] TIEOFF_X16Y40 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y40 |
CLK_FEED CLK_FEED_X82Y42 |
VBRK VBRK_X83Y42 |
CLBLL_L CLBLL_L_X16Y40 SLICEL SLICE[0,0] SLICE_X22Y40 SLICEL SLICE[1,0] SLICE_X23Y40 |
INT_L INT_L_X16Y40 TIEOFF TIEOFF[0,0] TIEOFF_X17Y40 |
INT_R INT_R_X17Y40 TIEOFF TIEOFF[0,0] TIEOFF_X18Y40 |
CLBLM_R CLBLM_R_X17Y40 SLICEM SLICE[0,0] SLICE_X24Y40 SLICEL SLICE[1,0] SLICE_X25Y40 |
VBRK VBRK_X88Y42 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y40 |
INT_L INT_L_X18Y40 TIEOFF TIEOFF[0,0] TIEOFF_X19Y40 |
INT_R INT_R_X19Y40 TIEOFF TIEOFF[0,0] TIEOFF_X20Y40 |
CLBLL_R CLBLL_R_X19Y40 SLICEL SLICE[0,0] SLICE_X26Y40 SLICEL SLICE[1,0] SLICE_X27Y40 |
CLBLM_L CLBLM_L_X20Y40 SLICEM SLICE[0,0] SLICE_X28Y40 SLICEL SLICE[1,0] SLICE_X29Y40 |
INT_L INT_L_X20Y40 TIEOFF TIEOFF[0,0] TIEOFF_X21Y40 |
INT_R INT_R_X21Y40 TIEOFF TIEOFF[0,0] TIEOFF_X22Y40 |
CLBLM_R CLBLM_R_X21Y40 SLICEM SLICE[0,0] SLICE_X30Y40 SLICEL SLICE[1,0] SLICE_X31Y40 |
VBRK VBRK_X98Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y40 |
INT_L INT_L_X22Y40 TIEOFF TIEOFF[0,0] TIEOFF_X24Y40 |
INT_R INT_R_X23Y40 TIEOFF TIEOFF[0,0] TIEOFF_X25Y40 |
CLBLM_R CLBLM_R_X23Y40 SLICEM SLICE[0,0] SLICE_X32Y40 SLICEL SLICE[1,0] SLICE_X33Y40 |
CLBLM_L CLBLM_L_X24Y40 SLICEM SLICE[0,0] SLICE_X34Y40 SLICEL SLICE[1,0] SLICE_X35Y40 |
INT_L INT_L_X24Y40 TIEOFF TIEOFF[0,0] TIEOFF_X26Y40 |
INT_R INT_R_X25Y40 TIEOFF TIEOFF[0,0] TIEOFF_X27Y40 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y40 |
VBRK VBRK_X109Y42 |
CLBLL_L CLBLL_L_X26Y40 SLICEL SLICE[0,0] SLICE_X36Y40 SLICEL SLICE[1,0] SLICE_X37Y40 |
INT_L INT_L_X26Y40 TIEOFF TIEOFF[0,0] TIEOFF_X28Y40 |
INT_R INT_R_X27Y40 TIEOFF TIEOFF[0,0] TIEOFF_X29Y40 |
CLBLM_R CLBLM_R_X27Y40 SLICEM SLICE[0,0] SLICE_X38Y40 SLICEL SLICE[1,0] SLICE_X39Y40 |
CLBLL_L CLBLL_L_X28Y40 SLICEL SLICE[0,0] SLICE_X40Y40 SLICEL SLICE[1,0] SLICE_X41Y40 |
INT_L INT_L_X28Y40 TIEOFF TIEOFF[0,0] TIEOFF_X30Y40 |
INT_R INT_R_X29Y40 TIEOFF TIEOFF[0,0] TIEOFF_X31Y40 |
CLBLM_R CLBLM_R_X29Y40 SLICEM SLICE[0,0] SLICE_X42Y40 SLICEL SLICE[1,0] SLICE_X43Y40 |
VBRK VBRK_X118Y42 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y40 |
INT_L INT_L_X30Y40 TIEOFF TIEOFF[0,0] TIEOFF_X32Y40 |
INT_R INT_R_X31Y40 TIEOFF TIEOFF[0,0] TIEOFF_X33Y40 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y40 |
R_TERM_INT R_TERM_INT_X125Y42 |
RIOI3 RIOI3_X31Y39 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y39 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y40 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y39 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y40 IDELAYE2 IDELAY[0,0] IDELAY_X0Y39 IDELAYE2 IDELAY[0,1] IDELAY_X0Y40 |
RIOB33 RIOB33_X31Y39 IOB33S IOB[0,0] IOB_X0Y39 IOB33M IOB[0,1] IOB_X0Y40 |
||||||||||||||||||||||||||||||||||||||||
PSS1 PSS1_X13Y32 |
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y39 |
INT_L INT_L_X0Y39 TIEOFF TIEOFF[0,0] TIEOFF_X0Y39 |
INT_R INT_R_X1Y39 TIEOFF TIEOFF[0,0] TIEOFF_X1Y39 |
CLBLM_R CLBLM_R_X1Y39 SLICEM SLICE[0,0] SLICE_X0Y39 SLICEL SLICE[1,0] SLICE_X1Y39 |
CLBLL_L CLBLL_L_X2Y39 SLICEL SLICE[0,0] SLICE_X2Y39 SLICEL SLICE[1,0] SLICE_X3Y39 |
INT_L INT_L_X2Y39 TIEOFF TIEOFF[0,0] TIEOFF_X2Y39 |
INT_R INT_R_X3Y39 TIEOFF TIEOFF[0,0] TIEOFF_X3Y39 |
CLBLM_R CLBLM_R_X3Y39 SLICEM SLICE[0,0] SLICE_X4Y39 SLICEL SLICE[1,0] SLICE_X5Y39 |
VBRK VBRK_X39Y41 |
BRAM_L BRAM_L_X4Y35 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y7 FIFO18E1 RAMB18[0,0] RAMB18_X0Y14 RAMB18E1 RAMB18[0,1] RAMB18_X0Y15 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y39 |
INT_L INT_L_X4Y39 TIEOFF TIEOFF[0,0] TIEOFF_X4Y39 |
INT_R INT_R_X5Y39 TIEOFF TIEOFF[0,0] TIEOFF_X5Y39 |
CLBLM_R CLBLM_R_X5Y39 SLICEM SLICE[0,0] SLICE_X6Y39 SLICEL SLICE[1,0] SLICE_X7Y39 |
CLBLM_L CLBLM_L_X6Y39 SLICEM SLICE[0,0] SLICE_X8Y39 SLICEL SLICE[1,0] SLICE_X9Y39 |
INT_L INT_L_X6Y39 TIEOFF TIEOFF[0,0] TIEOFF_X6Y39 |
INT_R INT_R_X7Y39 TIEOFF TIEOFF[0,0] TIEOFF_X7Y39 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y39 |
DSP_R DSP_R_X7Y35 TIEOFF TIEOFF[0,0] TIEOFF_X8Y35 DSP48E1 DSP48[0,0] DSP48_X0Y14 DSP48E1 DSP48[0,1] DSP48_X0Y15 |
VBRK VBRK_X50Y41 |
CLBLM_L CLBLM_L_X8Y39 SLICEM SLICE[0,0] SLICE_X10Y39 SLICEL SLICE[1,0] SLICE_X11Y39 |
INT_L INT_L_X8Y39 TIEOFF TIEOFF[0,0] TIEOFF_X9Y39 |
INT_R INT_R_X9Y39 TIEOFF TIEOFF[0,0] TIEOFF_X10Y39 |
CLBLM_R CLBLM_R_X9Y39 SLICEM SLICE[0,0] SLICE_X12Y39 SLICEL SLICE[1,0] SLICE_X13Y39 |
VBRK VBRK_X55Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y41 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y41 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y41 |
CFG_CENTER_MID CFG_CENTER_MID_X67Y32 USR_ACCESS USR_ACCESS[0,0] USR_ACCESS_X0Y0 BSCAN BSCAN[0,0] BSCAN_X0Y0 BSCAN BSCAN[0,1] BSCAN_X0Y1 BSCAN BSCAN[0,2] BSCAN_X0Y2 BSCAN BSCAN[0,3] BSCAN_X0Y3 ICAP ICAP[0,0] ICAP_X0Y0 ICAP ICAP[0,1] ICAP_X0Y1 PMVIOB PMVIOB[0,0] PMVIOB_X0Y1 FRAME_ECC FRAME_ECC[0,0] FRAME_ECC_X0Y0 STARTUP STARTUP[0,0] STARTUP_X0Y0 CAPTURE CAPTURE[0,0] CAPTURE_X0Y0 DCIRESET DCIRESET[0,0] DCIRESET_X0Y0 CFG_IO_ACCESS CFG_IO_ACCESS[0,0] CFG_IO_ACCESS_X0Y0 |
VFRAME VFRAME_X68Y41 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y39 |
INT_L INT_L_X10Y39 TIEOFF TIEOFF[0,0] TIEOFF_X11Y39 |
INT_R INT_R_X11Y39 TIEOFF TIEOFF[0,0] TIEOFF_X12Y39 |
CLBLL_R CLBLL_R_X11Y39 SLICEL SLICE[0,0] SLICE_X14Y39 SLICEL SLICE[1,0] SLICE_X15Y39 |
CLBLM_L CLBLM_L_X12Y39 SLICEM SLICE[0,0] SLICE_X16Y39 SLICEL SLICE[1,0] SLICE_X17Y39 |
INT_L INT_L_X12Y39 TIEOFF TIEOFF[0,0] TIEOFF_X13Y39 |
INT_R INT_R_X13Y39 TIEOFF TIEOFF[0,0] TIEOFF_X14Y39 |
CLBLL_R CLBLL_R_X13Y39 SLICEL SLICE[0,0] SLICE_X18Y39 SLICEL SLICE[1,0] SLICE_X19Y39 |
VBRK VBRK_X77Y41 |
CLBLM_L CLBLM_L_X14Y39 SLICEM SLICE[0,0] SLICE_X20Y39 SLICEL SLICE[1,0] SLICE_X21Y39 |
INT_L INT_L_X14Y39 TIEOFF TIEOFF[0,0] TIEOFF_X15Y39 |
INT_R INT_R_X15Y39 TIEOFF TIEOFF[0,0] TIEOFF_X16Y39 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y39 |
CLK_FEED CLK_FEED_X82Y41 |
VBRK VBRK_X83Y41 |
CLBLL_L CLBLL_L_X16Y39 SLICEL SLICE[0,0] SLICE_X22Y39 SLICEL SLICE[1,0] SLICE_X23Y39 |
INT_L INT_L_X16Y39 TIEOFF TIEOFF[0,0] TIEOFF_X17Y39 |
INT_R INT_R_X17Y39 TIEOFF TIEOFF[0,0] TIEOFF_X18Y39 |
CLBLM_R CLBLM_R_X17Y39 SLICEM SLICE[0,0] SLICE_X24Y39 SLICEL SLICE[1,0] SLICE_X25Y39 |
VBRK VBRK_X88Y41 |
BRAM_L BRAM_L_X18Y35 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y7 FIFO18E1 RAMB18[0,0] RAMB18_X1Y14 RAMB18E1 RAMB18[0,1] RAMB18_X1Y15 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y39 |
INT_L INT_L_X18Y39 TIEOFF TIEOFF[0,0] TIEOFF_X19Y39 |
INT_R INT_R_X19Y39 TIEOFF TIEOFF[0,0] TIEOFF_X20Y39 |
CLBLL_R CLBLL_R_X19Y39 SLICEL SLICE[0,0] SLICE_X26Y39 SLICEL SLICE[1,0] SLICE_X27Y39 |
CLBLM_L CLBLM_L_X20Y39 SLICEM SLICE[0,0] SLICE_X28Y39 SLICEL SLICE[1,0] SLICE_X29Y39 |
INT_L INT_L_X20Y39 TIEOFF TIEOFF[0,0] TIEOFF_X21Y39 |
INT_R INT_R_X21Y39 TIEOFF TIEOFF[0,0] TIEOFF_X22Y39 |
CLBLM_R CLBLM_R_X21Y39 SLICEM SLICE[0,0] SLICE_X30Y39 SLICEL SLICE[1,0] SLICE_X31Y39 |
VBRK VBRK_X98Y41 |
DSP_L DSP_L_X22Y35 TIEOFF TIEOFF[0,0] TIEOFF_X23Y35 DSP48E1 DSP48[0,0] DSP48_X1Y14 DSP48E1 DSP48[0,1] DSP48_X1Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y39 |
INT_L INT_L_X22Y39 TIEOFF TIEOFF[0,0] TIEOFF_X24Y39 |
INT_R INT_R_X23Y39 TIEOFF TIEOFF[0,0] TIEOFF_X25Y39 |
CLBLM_R CLBLM_R_X23Y39 SLICEM SLICE[0,0] SLICE_X32Y39 SLICEL SLICE[1,0] SLICE_X33Y39 |
CLBLM_L CLBLM_L_X24Y39 SLICEM SLICE[0,0] SLICE_X34Y39 SLICEL SLICE[1,0] SLICE_X35Y39 |
INT_L INT_L_X24Y39 TIEOFF TIEOFF[0,0] TIEOFF_X26Y39 |
INT_R INT_R_X25Y39 TIEOFF TIEOFF[0,0] TIEOFF_X27Y39 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y39 |
BRAM_R BRAM_R_X25Y35 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y7 FIFO18E1 RAMB18[0,0] RAMB18_X2Y14 RAMB18E1 RAMB18[0,1] RAMB18_X2Y15 |
VBRK VBRK_X109Y41 |
CLBLL_L CLBLL_L_X26Y39 SLICEL SLICE[0,0] SLICE_X36Y39 SLICEL SLICE[1,0] SLICE_X37Y39 |
INT_L INT_L_X26Y39 TIEOFF TIEOFF[0,0] TIEOFF_X28Y39 |
INT_R INT_R_X27Y39 TIEOFF TIEOFF[0,0] TIEOFF_X29Y39 |
CLBLM_R CLBLM_R_X27Y39 SLICEM SLICE[0,0] SLICE_X38Y39 SLICEL SLICE[1,0] SLICE_X39Y39 |
CLBLL_L CLBLL_L_X28Y39 SLICEL SLICE[0,0] SLICE_X40Y39 SLICEL SLICE[1,0] SLICE_X41Y39 |
INT_L INT_L_X28Y39 TIEOFF TIEOFF[0,0] TIEOFF_X30Y39 |
INT_R INT_R_X29Y39 TIEOFF TIEOFF[0,0] TIEOFF_X31Y39 |
CLBLM_R CLBLM_R_X29Y39 SLICEM SLICE[0,0] SLICE_X42Y39 SLICEL SLICE[1,0] SLICE_X43Y39 |
VBRK VBRK_X118Y41 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y39 |
INT_L INT_L_X30Y39 TIEOFF TIEOFF[0,0] TIEOFF_X32Y39 |
INT_R INT_R_X31Y39 TIEOFF TIEOFF[0,0] TIEOFF_X33Y39 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y39 |
R_TERM_INT R_TERM_INT_X125Y41 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y38 |
INT_L INT_L_X0Y38 TIEOFF TIEOFF[0,0] TIEOFF_X0Y38 |
INT_R INT_R_X1Y38 TIEOFF TIEOFF[0,0] TIEOFF_X1Y38 |
CLBLM_R CLBLM_R_X1Y38 SLICEM SLICE[0,0] SLICE_X0Y38 SLICEL SLICE[1,0] SLICE_X1Y38 |
CLBLL_L CLBLL_L_X2Y38 SLICEL SLICE[0,0] SLICE_X2Y38 SLICEL SLICE[1,0] SLICE_X3Y38 |
INT_L INT_L_X2Y38 TIEOFF TIEOFF[0,0] TIEOFF_X2Y38 |
INT_R INT_R_X3Y38 TIEOFF TIEOFF[0,0] TIEOFF_X3Y38 |
CLBLM_R CLBLM_R_X3Y38 SLICEM SLICE[0,0] SLICE_X4Y38 SLICEL SLICE[1,0] SLICE_X5Y38 |
VBRK VBRK_X39Y40 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y38 |
INT_L INT_L_X4Y38 TIEOFF TIEOFF[0,0] TIEOFF_X4Y38 |
INT_R INT_R_X5Y38 TIEOFF TIEOFF[0,0] TIEOFF_X5Y38 |
CLBLM_R CLBLM_R_X5Y38 SLICEM SLICE[0,0] SLICE_X6Y38 SLICEL SLICE[1,0] SLICE_X7Y38 |
CLBLM_L CLBLM_L_X6Y38 SLICEM SLICE[0,0] SLICE_X8Y38 SLICEL SLICE[1,0] SLICE_X9Y38 |
INT_L INT_L_X6Y38 TIEOFF TIEOFF[0,0] TIEOFF_X6Y38 |
INT_R INT_R_X7Y38 TIEOFF TIEOFF[0,0] TIEOFF_X7Y38 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y38 |
VBRK VBRK_X50Y40 |
CLBLM_L CLBLM_L_X8Y38 SLICEM SLICE[0,0] SLICE_X10Y38 SLICEL SLICE[1,0] SLICE_X11Y38 |
INT_L INT_L_X8Y38 TIEOFF TIEOFF[0,0] TIEOFF_X9Y38 |
INT_R INT_R_X9Y38 TIEOFF TIEOFF[0,0] TIEOFF_X10Y38 |
CLBLM_R CLBLM_R_X9Y38 SLICEM SLICE[0,0] SLICE_X12Y38 SLICEL SLICE[1,0] SLICE_X13Y38 |
VBRK VBRK_X55Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y40 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y40 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y40 |
VFRAME VFRAME_X68Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y38 |
INT_L INT_L_X10Y38 TIEOFF TIEOFF[0,0] TIEOFF_X11Y38 |
INT_R INT_R_X11Y38 TIEOFF TIEOFF[0,0] TIEOFF_X12Y38 |
CLBLL_R CLBLL_R_X11Y38 SLICEL SLICE[0,0] SLICE_X14Y38 SLICEL SLICE[1,0] SLICE_X15Y38 |
CLBLM_L CLBLM_L_X12Y38 SLICEM SLICE[0,0] SLICE_X16Y38 SLICEL SLICE[1,0] SLICE_X17Y38 |
INT_L INT_L_X12Y38 TIEOFF TIEOFF[0,0] TIEOFF_X13Y38 |
INT_R INT_R_X13Y38 TIEOFF TIEOFF[0,0] TIEOFF_X14Y38 |
CLBLL_R CLBLL_R_X13Y38 SLICEL SLICE[0,0] SLICE_X18Y38 SLICEL SLICE[1,0] SLICE_X19Y38 |
VBRK VBRK_X77Y40 |
CLBLM_L CLBLM_L_X14Y38 SLICEM SLICE[0,0] SLICE_X20Y38 SLICEL SLICE[1,0] SLICE_X21Y38 |
INT_L INT_L_X14Y38 TIEOFF TIEOFF[0,0] TIEOFF_X15Y38 |
INT_R INT_R_X15Y38 TIEOFF TIEOFF[0,0] TIEOFF_X16Y38 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y38 |
CLK_FEED CLK_FEED_X82Y40 |
VBRK VBRK_X83Y40 |
CLBLL_L CLBLL_L_X16Y38 SLICEL SLICE[0,0] SLICE_X22Y38 SLICEL SLICE[1,0] SLICE_X23Y38 |
INT_L INT_L_X16Y38 TIEOFF TIEOFF[0,0] TIEOFF_X17Y38 |
INT_R INT_R_X17Y38 TIEOFF TIEOFF[0,0] TIEOFF_X18Y38 |
CLBLM_R CLBLM_R_X17Y38 SLICEM SLICE[0,0] SLICE_X24Y38 SLICEL SLICE[1,0] SLICE_X25Y38 |
VBRK VBRK_X88Y40 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y38 |
INT_L INT_L_X18Y38 TIEOFF TIEOFF[0,0] TIEOFF_X19Y38 |
INT_R INT_R_X19Y38 TIEOFF TIEOFF[0,0] TIEOFF_X20Y38 |
CLBLL_R CLBLL_R_X19Y38 SLICEL SLICE[0,0] SLICE_X26Y38 SLICEL SLICE[1,0] SLICE_X27Y38 |
CLBLM_L CLBLM_L_X20Y38 SLICEM SLICE[0,0] SLICE_X28Y38 SLICEL SLICE[1,0] SLICE_X29Y38 |
INT_L INT_L_X20Y38 TIEOFF TIEOFF[0,0] TIEOFF_X21Y38 |
INT_R INT_R_X21Y38 TIEOFF TIEOFF[0,0] TIEOFF_X22Y38 |
CLBLM_R CLBLM_R_X21Y38 SLICEM SLICE[0,0] SLICE_X30Y38 SLICEL SLICE[1,0] SLICE_X31Y38 |
VBRK VBRK_X98Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y38 |
INT_L INT_L_X22Y38 TIEOFF TIEOFF[0,0] TIEOFF_X24Y38 |
INT_R INT_R_X23Y38 TIEOFF TIEOFF[0,0] TIEOFF_X25Y38 |
CLBLM_R CLBLM_R_X23Y38 SLICEM SLICE[0,0] SLICE_X32Y38 SLICEL SLICE[1,0] SLICE_X33Y38 |
CLBLM_L CLBLM_L_X24Y38 SLICEM SLICE[0,0] SLICE_X34Y38 SLICEL SLICE[1,0] SLICE_X35Y38 |
INT_L INT_L_X24Y38 TIEOFF TIEOFF[0,0] TIEOFF_X26Y38 |
INT_R INT_R_X25Y38 TIEOFF TIEOFF[0,0] TIEOFF_X27Y38 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y38 |
VBRK VBRK_X109Y40 |
CLBLL_L CLBLL_L_X26Y38 SLICEL SLICE[0,0] SLICE_X36Y38 SLICEL SLICE[1,0] SLICE_X37Y38 |
INT_L INT_L_X26Y38 TIEOFF TIEOFF[0,0] TIEOFF_X28Y38 |
INT_R INT_R_X27Y38 TIEOFF TIEOFF[0,0] TIEOFF_X29Y38 |
CLBLM_R CLBLM_R_X27Y38 SLICEM SLICE[0,0] SLICE_X38Y38 SLICEL SLICE[1,0] SLICE_X39Y38 |
CLBLL_L CLBLL_L_X28Y38 SLICEL SLICE[0,0] SLICE_X40Y38 SLICEL SLICE[1,0] SLICE_X41Y38 |
INT_L INT_L_X28Y38 TIEOFF TIEOFF[0,0] TIEOFF_X30Y38 |
INT_R INT_R_X29Y38 TIEOFF TIEOFF[0,0] TIEOFF_X31Y38 |
CLBLM_R CLBLM_R_X29Y38 SLICEM SLICE[0,0] SLICE_X42Y38 SLICEL SLICE[1,0] SLICE_X43Y38 |
VBRK VBRK_X118Y40 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y38 |
INT_L INT_L_X30Y38 TIEOFF TIEOFF[0,0] TIEOFF_X32Y38 |
INT_R INT_R_X31Y38 TIEOFF TIEOFF[0,0] TIEOFF_X33Y38 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y38 |
R_TERM_INT R_TERM_INT_X125Y40 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X31Y37 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y37 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y38 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y37 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y38 IDELAYE2 IDELAY[0,0] IDELAY_X0Y37 IDELAYE2 IDELAY[0,1] IDELAY_X0Y38 |
RIOB33 RIOB33_X31Y37 IOB33S IOB[0,0] IOB_X0Y37 IOB33M IOB[0,1] IOB_X0Y38 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y37 |
INT_L INT_L_X0Y37 TIEOFF TIEOFF[0,0] TIEOFF_X0Y37 |
INT_R INT_R_X1Y37 TIEOFF TIEOFF[0,0] TIEOFF_X1Y37 |
CLBLM_R CLBLM_R_X1Y37 SLICEM SLICE[0,0] SLICE_X0Y37 SLICEL SLICE[1,0] SLICE_X1Y37 |
CLBLL_L CLBLL_L_X2Y37 SLICEL SLICE[0,0] SLICE_X2Y37 SLICEL SLICE[1,0] SLICE_X3Y37 |
INT_L INT_L_X2Y37 TIEOFF TIEOFF[0,0] TIEOFF_X2Y37 |
INT_R INT_R_X3Y37 TIEOFF TIEOFF[0,0] TIEOFF_X3Y37 |
CLBLM_R CLBLM_R_X3Y37 SLICEM SLICE[0,0] SLICE_X4Y37 SLICEL SLICE[1,0] SLICE_X5Y37 |
VBRK VBRK_X39Y39 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y37 |
INT_L INT_L_X4Y37 TIEOFF TIEOFF[0,0] TIEOFF_X4Y37 |
INT_R INT_R_X5Y37 TIEOFF TIEOFF[0,0] TIEOFF_X5Y37 |
CLBLM_R CLBLM_R_X5Y37 SLICEM SLICE[0,0] SLICE_X6Y37 SLICEL SLICE[1,0] SLICE_X7Y37 |
CLBLM_L CLBLM_L_X6Y37 SLICEM SLICE[0,0] SLICE_X8Y37 SLICEL SLICE[1,0] SLICE_X9Y37 |
INT_L INT_L_X6Y37 TIEOFF TIEOFF[0,0] TIEOFF_X6Y37 |
INT_R INT_R_X7Y37 TIEOFF TIEOFF[0,0] TIEOFF_X7Y37 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y37 |
VBRK VBRK_X50Y39 |
CLBLM_L CLBLM_L_X8Y37 SLICEM SLICE[0,0] SLICE_X10Y37 SLICEL SLICE[1,0] SLICE_X11Y37 |
INT_L INT_L_X8Y37 TIEOFF TIEOFF[0,0] TIEOFF_X9Y37 |
INT_R INT_R_X9Y37 TIEOFF TIEOFF[0,0] TIEOFF_X10Y37 |
CLBLM_R CLBLM_R_X9Y37 SLICEM SLICE[0,0] SLICE_X12Y37 SLICEL SLICE[1,0] SLICE_X13Y37 |
VBRK VBRK_X55Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y39 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y39 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y39 |
VFRAME VFRAME_X68Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y37 |
INT_L INT_L_X10Y37 TIEOFF TIEOFF[0,0] TIEOFF_X11Y37 |
INT_R INT_R_X11Y37 TIEOFF TIEOFF[0,0] TIEOFF_X12Y37 |
CLBLL_R CLBLL_R_X11Y37 SLICEL SLICE[0,0] SLICE_X14Y37 SLICEL SLICE[1,0] SLICE_X15Y37 |
CLBLM_L CLBLM_L_X12Y37 SLICEM SLICE[0,0] SLICE_X16Y37 SLICEL SLICE[1,0] SLICE_X17Y37 |
INT_L INT_L_X12Y37 TIEOFF TIEOFF[0,0] TIEOFF_X13Y37 |
INT_R INT_R_X13Y37 TIEOFF TIEOFF[0,0] TIEOFF_X14Y37 |
CLBLL_R CLBLL_R_X13Y37 SLICEL SLICE[0,0] SLICE_X18Y37 SLICEL SLICE[1,0] SLICE_X19Y37 |
VBRK VBRK_X77Y39 |
CLBLM_L CLBLM_L_X14Y37 SLICEM SLICE[0,0] SLICE_X20Y37 SLICEL SLICE[1,0] SLICE_X21Y37 |
INT_L INT_L_X14Y37 TIEOFF TIEOFF[0,0] TIEOFF_X15Y37 |
INT_R INT_R_X15Y37 TIEOFF TIEOFF[0,0] TIEOFF_X16Y37 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y37 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X82Y38 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y32 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y33 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y34 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y35 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y36 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y37 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y38 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y39 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y40 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y41 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y42 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y43 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y44 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y45 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y46 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y47 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y32 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y33 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y34 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y35 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y36 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y37 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y38 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y39 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y40 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y41 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y42 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y43 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y44 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y45 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y46 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y47 |
VBRK VBRK_X83Y39 |
CLBLL_L CLBLL_L_X16Y37 SLICEL SLICE[0,0] SLICE_X22Y37 SLICEL SLICE[1,0] SLICE_X23Y37 |
INT_L INT_L_X16Y37 TIEOFF TIEOFF[0,0] TIEOFF_X17Y37 |
INT_R INT_R_X17Y37 TIEOFF TIEOFF[0,0] TIEOFF_X18Y37 |
CLBLM_R CLBLM_R_X17Y37 SLICEM SLICE[0,0] SLICE_X24Y37 SLICEL SLICE[1,0] SLICE_X25Y37 |
VBRK VBRK_X88Y39 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y37 |
INT_L INT_L_X18Y37 TIEOFF TIEOFF[0,0] TIEOFF_X19Y37 |
INT_R INT_R_X19Y37 TIEOFF TIEOFF[0,0] TIEOFF_X20Y37 |
CLBLL_R CLBLL_R_X19Y37 SLICEL SLICE[0,0] SLICE_X26Y37 SLICEL SLICE[1,0] SLICE_X27Y37 |
CLBLM_L CLBLM_L_X20Y37 SLICEM SLICE[0,0] SLICE_X28Y37 SLICEL SLICE[1,0] SLICE_X29Y37 |
INT_L INT_L_X20Y37 TIEOFF TIEOFF[0,0] TIEOFF_X21Y37 |
INT_R INT_R_X21Y37 TIEOFF TIEOFF[0,0] TIEOFF_X22Y37 |
CLBLM_R CLBLM_R_X21Y37 SLICEM SLICE[0,0] SLICE_X30Y37 SLICEL SLICE[1,0] SLICE_X31Y37 |
VBRK VBRK_X98Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y37 |
INT_L INT_L_X22Y37 TIEOFF TIEOFF[0,0] TIEOFF_X24Y37 |
INT_R INT_R_X23Y37 TIEOFF TIEOFF[0,0] TIEOFF_X25Y37 |
CLBLM_R CLBLM_R_X23Y37 SLICEM SLICE[0,0] SLICE_X32Y37 SLICEL SLICE[1,0] SLICE_X33Y37 |
CLBLM_L CLBLM_L_X24Y37 SLICEM SLICE[0,0] SLICE_X34Y37 SLICEL SLICE[1,0] SLICE_X35Y37 |
INT_L INT_L_X24Y37 TIEOFF TIEOFF[0,0] TIEOFF_X26Y37 |
INT_R INT_R_X25Y37 TIEOFF TIEOFF[0,0] TIEOFF_X27Y37 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y37 |
VBRK VBRK_X109Y39 |
CLBLL_L CLBLL_L_X26Y37 SLICEL SLICE[0,0] SLICE_X36Y37 SLICEL SLICE[1,0] SLICE_X37Y37 |
INT_L INT_L_X26Y37 TIEOFF TIEOFF[0,0] TIEOFF_X28Y37 |
INT_R INT_R_X27Y37 TIEOFF TIEOFF[0,0] TIEOFF_X29Y37 |
CLBLM_R CLBLM_R_X27Y37 SLICEM SLICE[0,0] SLICE_X38Y37 SLICEL SLICE[1,0] SLICE_X39Y37 |
CLBLL_L CLBLL_L_X28Y37 SLICEL SLICE[0,0] SLICE_X40Y37 SLICEL SLICE[1,0] SLICE_X41Y37 |
INT_L INT_L_X28Y37 TIEOFF TIEOFF[0,0] TIEOFF_X30Y37 |
INT_R INT_R_X29Y37 TIEOFF TIEOFF[0,0] TIEOFF_X31Y37 |
CLBLM_R CLBLM_R_X29Y37 SLICEM SLICE[0,0] SLICE_X42Y37 SLICEL SLICE[1,0] SLICE_X43Y37 |
VBRK VBRK_X118Y39 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y37 |
INT_L INT_L_X30Y37 TIEOFF TIEOFF[0,0] TIEOFF_X32Y37 |
INT_R INT_R_X31Y37 TIEOFF TIEOFF[0,0] TIEOFF_X33Y37 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y37 |
R_TERM_INT R_TERM_INT_X125Y39 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y36 |
INT_L INT_L_X0Y36 TIEOFF TIEOFF[0,0] TIEOFF_X0Y36 |
INT_R INT_R_X1Y36 TIEOFF TIEOFF[0,0] TIEOFF_X1Y36 |
CLBLM_R CLBLM_R_X1Y36 SLICEM SLICE[0,0] SLICE_X0Y36 SLICEL SLICE[1,0] SLICE_X1Y36 |
CLBLL_L CLBLL_L_X2Y36 SLICEL SLICE[0,0] SLICE_X2Y36 SLICEL SLICE[1,0] SLICE_X3Y36 |
INT_L INT_L_X2Y36 TIEOFF TIEOFF[0,0] TIEOFF_X2Y36 |
INT_R INT_R_X3Y36 TIEOFF TIEOFF[0,0] TIEOFF_X3Y36 |
CLBLM_R CLBLM_R_X3Y36 SLICEM SLICE[0,0] SLICE_X4Y36 SLICEL SLICE[1,0] SLICE_X5Y36 |
VBRK VBRK_X39Y38 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y36 |
INT_L INT_L_X4Y36 TIEOFF TIEOFF[0,0] TIEOFF_X4Y36 |
INT_R INT_R_X5Y36 TIEOFF TIEOFF[0,0] TIEOFF_X5Y36 |
CLBLM_R CLBLM_R_X5Y36 SLICEM SLICE[0,0] SLICE_X6Y36 SLICEL SLICE[1,0] SLICE_X7Y36 |
CLBLM_L CLBLM_L_X6Y36 SLICEM SLICE[0,0] SLICE_X8Y36 SLICEL SLICE[1,0] SLICE_X9Y36 |
INT_L INT_L_X6Y36 TIEOFF TIEOFF[0,0] TIEOFF_X6Y36 |
INT_R INT_R_X7Y36 TIEOFF TIEOFF[0,0] TIEOFF_X7Y36 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y36 |
VBRK VBRK_X50Y38 |
CLBLM_L CLBLM_L_X8Y36 SLICEM SLICE[0,0] SLICE_X10Y36 SLICEL SLICE[1,0] SLICE_X11Y36 |
INT_L INT_L_X8Y36 TIEOFF TIEOFF[0,0] TIEOFF_X9Y36 |
INT_R INT_R_X9Y36 TIEOFF TIEOFF[0,0] TIEOFF_X10Y36 |
CLBLM_R CLBLM_R_X9Y36 SLICEM SLICE[0,0] SLICE_X12Y36 SLICEL SLICE[1,0] SLICE_X13Y36 |
VBRK VBRK_X55Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y38 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y38 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y38 |
VFRAME VFRAME_X68Y38 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y36 |
INT_L INT_L_X10Y36 TIEOFF TIEOFF[0,0] TIEOFF_X11Y36 |
INT_R INT_R_X11Y36 TIEOFF TIEOFF[0,0] TIEOFF_X12Y36 |
CLBLL_R CLBLL_R_X11Y36 SLICEL SLICE[0,0] SLICE_X14Y36 SLICEL SLICE[1,0] SLICE_X15Y36 |
CLBLM_L CLBLM_L_X12Y36 SLICEM SLICE[0,0] SLICE_X16Y36 SLICEL SLICE[1,0] SLICE_X17Y36 |
INT_L INT_L_X12Y36 TIEOFF TIEOFF[0,0] TIEOFF_X13Y36 |
INT_R INT_R_X13Y36 TIEOFF TIEOFF[0,0] TIEOFF_X14Y36 |
CLBLL_R CLBLL_R_X13Y36 SLICEL SLICE[0,0] SLICE_X18Y36 SLICEL SLICE[1,0] SLICE_X19Y36 |
VBRK VBRK_X77Y38 |
CLBLM_L CLBLM_L_X14Y36 SLICEM SLICE[0,0] SLICE_X20Y36 SLICEL SLICE[1,0] SLICE_X21Y36 |
INT_L INT_L_X14Y36 TIEOFF TIEOFF[0,0] TIEOFF_X15Y36 |
INT_R INT_R_X15Y36 TIEOFF TIEOFF[0,0] TIEOFF_X16Y36 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y36 |
VBRK VBRK_X83Y38 |
CLBLL_L CLBLL_L_X16Y36 SLICEL SLICE[0,0] SLICE_X22Y36 SLICEL SLICE[1,0] SLICE_X23Y36 |
INT_L INT_L_X16Y36 TIEOFF TIEOFF[0,0] TIEOFF_X17Y36 |
INT_R INT_R_X17Y36 TIEOFF TIEOFF[0,0] TIEOFF_X18Y36 |
CLBLM_R CLBLM_R_X17Y36 SLICEM SLICE[0,0] SLICE_X24Y36 SLICEL SLICE[1,0] SLICE_X25Y36 |
VBRK VBRK_X88Y38 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y36 |
INT_L INT_L_X18Y36 TIEOFF TIEOFF[0,0] TIEOFF_X19Y36 |
INT_R INT_R_X19Y36 TIEOFF TIEOFF[0,0] TIEOFF_X20Y36 |
CLBLL_R CLBLL_R_X19Y36 SLICEL SLICE[0,0] SLICE_X26Y36 SLICEL SLICE[1,0] SLICE_X27Y36 |
CLBLM_L CLBLM_L_X20Y36 SLICEM SLICE[0,0] SLICE_X28Y36 SLICEL SLICE[1,0] SLICE_X29Y36 |
INT_L INT_L_X20Y36 TIEOFF TIEOFF[0,0] TIEOFF_X21Y36 |
INT_R INT_R_X21Y36 TIEOFF TIEOFF[0,0] TIEOFF_X22Y36 |
CLBLM_R CLBLM_R_X21Y36 SLICEM SLICE[0,0] SLICE_X30Y36 SLICEL SLICE[1,0] SLICE_X31Y36 |
VBRK VBRK_X98Y38 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y36 |
INT_L INT_L_X22Y36 TIEOFF TIEOFF[0,0] TIEOFF_X24Y36 |
INT_R INT_R_X23Y36 TIEOFF TIEOFF[0,0] TIEOFF_X25Y36 |
CLBLM_R CLBLM_R_X23Y36 SLICEM SLICE[0,0] SLICE_X32Y36 SLICEL SLICE[1,0] SLICE_X33Y36 |
CLBLM_L CLBLM_L_X24Y36 SLICEM SLICE[0,0] SLICE_X34Y36 SLICEL SLICE[1,0] SLICE_X35Y36 |
INT_L INT_L_X24Y36 TIEOFF TIEOFF[0,0] TIEOFF_X26Y36 |
INT_R INT_R_X25Y36 TIEOFF TIEOFF[0,0] TIEOFF_X27Y36 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y36 |
VBRK VBRK_X109Y38 |
CLBLL_L CLBLL_L_X26Y36 SLICEL SLICE[0,0] SLICE_X36Y36 SLICEL SLICE[1,0] SLICE_X37Y36 |
INT_L INT_L_X26Y36 TIEOFF TIEOFF[0,0] TIEOFF_X28Y36 |
INT_R INT_R_X27Y36 TIEOFF TIEOFF[0,0] TIEOFF_X29Y36 |
CLBLM_R CLBLM_R_X27Y36 SLICEM SLICE[0,0] SLICE_X38Y36 SLICEL SLICE[1,0] SLICE_X39Y36 |
CLBLL_L CLBLL_L_X28Y36 SLICEL SLICE[0,0] SLICE_X40Y36 SLICEL SLICE[1,0] SLICE_X41Y36 |
INT_L INT_L_X28Y36 TIEOFF TIEOFF[0,0] TIEOFF_X30Y36 |
INT_R INT_R_X29Y36 TIEOFF TIEOFF[0,0] TIEOFF_X31Y36 |
CLBLM_R CLBLM_R_X29Y36 SLICEM SLICE[0,0] SLICE_X42Y36 SLICEL SLICE[1,0] SLICE_X43Y36 |
VBRK VBRK_X118Y38 |
CMT_TOP_L_UPPER_B CMT_TOP_L_UPPER_B_X119Y31 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y2 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y3 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y2 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y3 PHASER_REF PHASER_REF[0,0] PHASER_REF_X0Y0 PHY_CONTROL PHY_CONTROL[0,0] PHY_CONTROL_X0Y0 |
CMT_FIFO_L CMT_FIFO_L_X120Y33 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y2 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y36 |
INT_L INT_L_X30Y36 TIEOFF TIEOFF[0,0] TIEOFF_X32Y36 |
INT_R INT_R_X31Y36 TIEOFF TIEOFF[0,0] TIEOFF_X33Y36 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y36 |
R_TERM_INT R_TERM_INT_X125Y38 |
RIOI3 RIOI3_X31Y35 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y35 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y36 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y35 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y36 IDELAYE2 IDELAY[0,0] IDELAY_X0Y35 IDELAYE2 IDELAY[0,1] IDELAY_X0Y36 |
RIOB33 RIOB33_X31Y35 IOB33S IOB[0,0] IOB_X0Y35 IOB33M IOB[0,1] IOB_X0Y36 |
|||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y35 |
INT_L INT_L_X0Y35 TIEOFF TIEOFF[0,0] TIEOFF_X0Y35 |
INT_R INT_R_X1Y35 TIEOFF TIEOFF[0,0] TIEOFF_X1Y35 |
CLBLM_R CLBLM_R_X1Y35 SLICEM SLICE[0,0] SLICE_X0Y35 SLICEL SLICE[1,0] SLICE_X1Y35 |
CLBLL_L CLBLL_L_X2Y35 SLICEL SLICE[0,0] SLICE_X2Y35 SLICEL SLICE[1,0] SLICE_X3Y35 |
INT_L INT_L_X2Y35 TIEOFF TIEOFF[0,0] TIEOFF_X2Y35 |
INT_R INT_R_X3Y35 TIEOFF TIEOFF[0,0] TIEOFF_X3Y35 |
CLBLM_R CLBLM_R_X3Y35 SLICEM SLICE[0,0] SLICE_X4Y35 SLICEL SLICE[1,0] SLICE_X5Y35 |
VBRK VBRK_X39Y37 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y35 |
INT_L INT_L_X4Y35 TIEOFF TIEOFF[0,0] TIEOFF_X4Y35 |
INT_R INT_R_X5Y35 TIEOFF TIEOFF[0,0] TIEOFF_X5Y35 |
CLBLM_R CLBLM_R_X5Y35 SLICEM SLICE[0,0] SLICE_X6Y35 SLICEL SLICE[1,0] SLICE_X7Y35 |
CLBLM_L CLBLM_L_X6Y35 SLICEM SLICE[0,0] SLICE_X8Y35 SLICEL SLICE[1,0] SLICE_X9Y35 |
INT_L INT_L_X6Y35 TIEOFF TIEOFF[0,0] TIEOFF_X6Y35 |
INT_R INT_R_X7Y35 TIEOFF TIEOFF[0,0] TIEOFF_X7Y35 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y35 |
VBRK VBRK_X50Y37 |
CLBLM_L CLBLM_L_X8Y35 SLICEM SLICE[0,0] SLICE_X10Y35 SLICEL SLICE[1,0] SLICE_X11Y35 |
INT_L INT_L_X8Y35 TIEOFF TIEOFF[0,0] TIEOFF_X9Y35 |
INT_R INT_R_X9Y35 TIEOFF TIEOFF[0,0] TIEOFF_X10Y35 |
CLBLM_R CLBLM_R_X9Y35 SLICEM SLICE[0,0] SLICE_X12Y35 SLICEL SLICE[1,0] SLICE_X13Y35 |
VBRK VBRK_X55Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y37 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y37 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y37 |
VFRAME VFRAME_X68Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y35 |
INT_L INT_L_X10Y35 TIEOFF TIEOFF[0,0] TIEOFF_X11Y35 |
INT_R INT_R_X11Y35 TIEOFF TIEOFF[0,0] TIEOFF_X12Y35 |
CLBLL_R CLBLL_R_X11Y35 SLICEL SLICE[0,0] SLICE_X14Y35 SLICEL SLICE[1,0] SLICE_X15Y35 |
CLBLM_L CLBLM_L_X12Y35 SLICEM SLICE[0,0] SLICE_X16Y35 SLICEL SLICE[1,0] SLICE_X17Y35 |
INT_L INT_L_X12Y35 TIEOFF TIEOFF[0,0] TIEOFF_X13Y35 |
INT_R INT_R_X13Y35 TIEOFF TIEOFF[0,0] TIEOFF_X14Y35 |
CLBLL_R CLBLL_R_X13Y35 SLICEL SLICE[0,0] SLICE_X18Y35 SLICEL SLICE[1,0] SLICE_X19Y35 |
VBRK VBRK_X77Y37 |
CLBLM_L CLBLM_L_X14Y35 SLICEM SLICE[0,0] SLICE_X20Y35 SLICEL SLICE[1,0] SLICE_X21Y35 |
INT_L INT_L_X14Y35 TIEOFF TIEOFF[0,0] TIEOFF_X15Y35 |
INT_R INT_R_X15Y35 TIEOFF TIEOFF[0,0] TIEOFF_X16Y35 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y35 |
CLK_FEED CLK_FEED_X82Y37 |
VBRK VBRK_X83Y37 |
CLBLL_L CLBLL_L_X16Y35 SLICEL SLICE[0,0] SLICE_X22Y35 SLICEL SLICE[1,0] SLICE_X23Y35 |
INT_L INT_L_X16Y35 TIEOFF TIEOFF[0,0] TIEOFF_X17Y35 |
INT_R INT_R_X17Y35 TIEOFF TIEOFF[0,0] TIEOFF_X18Y35 |
CLBLM_R CLBLM_R_X17Y35 SLICEM SLICE[0,0] SLICE_X24Y35 SLICEL SLICE[1,0] SLICE_X25Y35 |
VBRK VBRK_X88Y37 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y35 |
INT_L INT_L_X18Y35 TIEOFF TIEOFF[0,0] TIEOFF_X19Y35 |
INT_R INT_R_X19Y35 TIEOFF TIEOFF[0,0] TIEOFF_X20Y35 |
CLBLL_R CLBLL_R_X19Y35 SLICEL SLICE[0,0] SLICE_X26Y35 SLICEL SLICE[1,0] SLICE_X27Y35 |
CLBLM_L CLBLM_L_X20Y35 SLICEM SLICE[0,0] SLICE_X28Y35 SLICEL SLICE[1,0] SLICE_X29Y35 |
INT_L INT_L_X20Y35 TIEOFF TIEOFF[0,0] TIEOFF_X21Y35 |
INT_R INT_R_X21Y35 TIEOFF TIEOFF[0,0] TIEOFF_X22Y35 |
CLBLM_R CLBLM_R_X21Y35 SLICEM SLICE[0,0] SLICE_X30Y35 SLICEL SLICE[1,0] SLICE_X31Y35 |
VBRK VBRK_X98Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y35 |
INT_L INT_L_X22Y35 TIEOFF TIEOFF[0,0] TIEOFF_X24Y35 |
INT_R INT_R_X23Y35 TIEOFF TIEOFF[0,0] TIEOFF_X25Y35 |
CLBLM_R CLBLM_R_X23Y35 SLICEM SLICE[0,0] SLICE_X32Y35 SLICEL SLICE[1,0] SLICE_X33Y35 |
CLBLM_L CLBLM_L_X24Y35 SLICEM SLICE[0,0] SLICE_X34Y35 SLICEL SLICE[1,0] SLICE_X35Y35 |
INT_L INT_L_X24Y35 TIEOFF TIEOFF[0,0] TIEOFF_X26Y35 |
INT_R INT_R_X25Y35 TIEOFF TIEOFF[0,0] TIEOFF_X27Y35 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y35 |
VBRK VBRK_X109Y37 |
CLBLL_L CLBLL_L_X26Y35 SLICEL SLICE[0,0] SLICE_X36Y35 SLICEL SLICE[1,0] SLICE_X37Y35 |
INT_L INT_L_X26Y35 TIEOFF TIEOFF[0,0] TIEOFF_X28Y35 |
INT_R INT_R_X27Y35 TIEOFF TIEOFF[0,0] TIEOFF_X29Y35 |
CLBLM_R CLBLM_R_X27Y35 SLICEM SLICE[0,0] SLICE_X38Y35 SLICEL SLICE[1,0] SLICE_X39Y35 |
CLBLL_L CLBLL_L_X28Y35 SLICEL SLICE[0,0] SLICE_X40Y35 SLICEL SLICE[1,0] SLICE_X41Y35 |
INT_L INT_L_X28Y35 TIEOFF TIEOFF[0,0] TIEOFF_X30Y35 |
INT_R INT_R_X29Y35 TIEOFF TIEOFF[0,0] TIEOFF_X31Y35 |
CLBLM_R CLBLM_R_X29Y35 SLICEM SLICE[0,0] SLICE_X42Y35 SLICEL SLICE[1,0] SLICE_X43Y35 |
VBRK VBRK_X118Y37 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y35 |
INT_L INT_L_X30Y35 TIEOFF TIEOFF[0,0] TIEOFF_X32Y35 |
INT_R INT_R_X31Y35 TIEOFF TIEOFF[0,0] TIEOFF_X33Y35 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y35 |
R_TERM_INT R_TERM_INT_X125Y37 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y34 |
INT_L INT_L_X0Y34 TIEOFF TIEOFF[0,0] TIEOFF_X0Y34 |
INT_R INT_R_X1Y34 TIEOFF TIEOFF[0,0] TIEOFF_X1Y34 |
CLBLM_R CLBLM_R_X1Y34 SLICEM SLICE[0,0] SLICE_X0Y34 SLICEL SLICE[1,0] SLICE_X1Y34 |
CLBLL_L CLBLL_L_X2Y34 SLICEL SLICE[0,0] SLICE_X2Y34 SLICEL SLICE[1,0] SLICE_X3Y34 |
INT_L INT_L_X2Y34 TIEOFF TIEOFF[0,0] TIEOFF_X2Y34 |
INT_R INT_R_X3Y34 TIEOFF TIEOFF[0,0] TIEOFF_X3Y34 |
CLBLM_R CLBLM_R_X3Y34 SLICEM SLICE[0,0] SLICE_X4Y34 SLICEL SLICE[1,0] SLICE_X5Y34 |
VBRK VBRK_X39Y36 |
BRAM_L BRAM_L_X4Y30 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y6 FIFO18E1 RAMB18[0,0] RAMB18_X0Y12 RAMB18E1 RAMB18[0,1] RAMB18_X0Y13 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y34 |
INT_L INT_L_X4Y34 TIEOFF TIEOFF[0,0] TIEOFF_X4Y34 |
INT_R INT_R_X5Y34 TIEOFF TIEOFF[0,0] TIEOFF_X5Y34 |
CLBLM_R CLBLM_R_X5Y34 SLICEM SLICE[0,0] SLICE_X6Y34 SLICEL SLICE[1,0] SLICE_X7Y34 |
CLBLM_L CLBLM_L_X6Y34 SLICEM SLICE[0,0] SLICE_X8Y34 SLICEL SLICE[1,0] SLICE_X9Y34 |
INT_L INT_L_X6Y34 TIEOFF TIEOFF[0,0] TIEOFF_X6Y34 |
INT_R INT_R_X7Y34 TIEOFF TIEOFF[0,0] TIEOFF_X7Y34 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y34 |
DSP_R DSP_R_X7Y30 TIEOFF TIEOFF[0,0] TIEOFF_X8Y30 DSP48E1 DSP48[0,0] DSP48_X0Y12 DSP48E1 DSP48[0,1] DSP48_X0Y13 |
VBRK VBRK_X50Y36 |
CLBLM_L CLBLM_L_X8Y34 SLICEM SLICE[0,0] SLICE_X10Y34 SLICEL SLICE[1,0] SLICE_X11Y34 |
INT_L INT_L_X8Y34 TIEOFF TIEOFF[0,0] TIEOFF_X9Y34 |
INT_R INT_R_X9Y34 TIEOFF TIEOFF[0,0] TIEOFF_X10Y34 |
CLBLM_R CLBLM_R_X9Y34 SLICEM SLICE[0,0] SLICE_X12Y34 SLICEL SLICE[1,0] SLICE_X13Y34 |
VBRK VBRK_X55Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y36 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y36 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y36 |
VFRAME VFRAME_X68Y36 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y34 |
INT_L INT_L_X10Y34 TIEOFF TIEOFF[0,0] TIEOFF_X11Y34 |
INT_R INT_R_X11Y34 TIEOFF TIEOFF[0,0] TIEOFF_X12Y34 |
CLBLL_R CLBLL_R_X11Y34 SLICEL SLICE[0,0] SLICE_X14Y34 SLICEL SLICE[1,0] SLICE_X15Y34 |
CLBLM_L CLBLM_L_X12Y34 SLICEM SLICE[0,0] SLICE_X16Y34 SLICEL SLICE[1,0] SLICE_X17Y34 |
INT_L INT_L_X12Y34 TIEOFF TIEOFF[0,0] TIEOFF_X13Y34 |
INT_R INT_R_X13Y34 TIEOFF TIEOFF[0,0] TIEOFF_X14Y34 |
CLBLL_R CLBLL_R_X13Y34 SLICEL SLICE[0,0] SLICE_X18Y34 SLICEL SLICE[1,0] SLICE_X19Y34 |
VBRK VBRK_X77Y36 |
CLBLM_L CLBLM_L_X14Y34 SLICEM SLICE[0,0] SLICE_X20Y34 SLICEL SLICE[1,0] SLICE_X21Y34 |
INT_L INT_L_X14Y34 TIEOFF TIEOFF[0,0] TIEOFF_X15Y34 |
INT_R INT_R_X15Y34 TIEOFF TIEOFF[0,0] TIEOFF_X16Y34 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y34 |
CLK_FEED CLK_FEED_X82Y36 |
VBRK VBRK_X83Y36 |
CLBLL_L CLBLL_L_X16Y34 SLICEL SLICE[0,0] SLICE_X22Y34 SLICEL SLICE[1,0] SLICE_X23Y34 |
INT_L INT_L_X16Y34 TIEOFF TIEOFF[0,0] TIEOFF_X17Y34 |
INT_R INT_R_X17Y34 TIEOFF TIEOFF[0,0] TIEOFF_X18Y34 |
CLBLM_R CLBLM_R_X17Y34 SLICEM SLICE[0,0] SLICE_X24Y34 SLICEL SLICE[1,0] SLICE_X25Y34 |
VBRK VBRK_X88Y36 |
BRAM_L BRAM_L_X18Y30 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y6 FIFO18E1 RAMB18[0,0] RAMB18_X1Y12 RAMB18E1 RAMB18[0,1] RAMB18_X1Y13 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y34 |
INT_L INT_L_X18Y34 TIEOFF TIEOFF[0,0] TIEOFF_X19Y34 |
INT_R INT_R_X19Y34 TIEOFF TIEOFF[0,0] TIEOFF_X20Y34 |
CLBLL_R CLBLL_R_X19Y34 SLICEL SLICE[0,0] SLICE_X26Y34 SLICEL SLICE[1,0] SLICE_X27Y34 |
CLBLM_L CLBLM_L_X20Y34 SLICEM SLICE[0,0] SLICE_X28Y34 SLICEL SLICE[1,0] SLICE_X29Y34 |
INT_L INT_L_X20Y34 TIEOFF TIEOFF[0,0] TIEOFF_X21Y34 |
INT_R INT_R_X21Y34 TIEOFF TIEOFF[0,0] TIEOFF_X22Y34 |
CLBLM_R CLBLM_R_X21Y34 SLICEM SLICE[0,0] SLICE_X30Y34 SLICEL SLICE[1,0] SLICE_X31Y34 |
VBRK VBRK_X98Y36 |
DSP_L DSP_L_X22Y30 TIEOFF TIEOFF[0,0] TIEOFF_X23Y30 DSP48E1 DSP48[0,0] DSP48_X1Y12 DSP48E1 DSP48[0,1] DSP48_X1Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y34 |
INT_L INT_L_X22Y34 TIEOFF TIEOFF[0,0] TIEOFF_X24Y34 |
INT_R INT_R_X23Y34 TIEOFF TIEOFF[0,0] TIEOFF_X25Y34 |
CLBLM_R CLBLM_R_X23Y34 SLICEM SLICE[0,0] SLICE_X32Y34 SLICEL SLICE[1,0] SLICE_X33Y34 |
CLBLM_L CLBLM_L_X24Y34 SLICEM SLICE[0,0] SLICE_X34Y34 SLICEL SLICE[1,0] SLICE_X35Y34 |
INT_L INT_L_X24Y34 TIEOFF TIEOFF[0,0] TIEOFF_X26Y34 |
INT_R INT_R_X25Y34 TIEOFF TIEOFF[0,0] TIEOFF_X27Y34 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y34 |
BRAM_R BRAM_R_X25Y30 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y6 FIFO18E1 RAMB18[0,0] RAMB18_X2Y12 RAMB18E1 RAMB18[0,1] RAMB18_X2Y13 |
VBRK VBRK_X109Y36 |
CLBLL_L CLBLL_L_X26Y34 SLICEL SLICE[0,0] SLICE_X36Y34 SLICEL SLICE[1,0] SLICE_X37Y34 |
INT_L INT_L_X26Y34 TIEOFF TIEOFF[0,0] TIEOFF_X28Y34 |
INT_R INT_R_X27Y34 TIEOFF TIEOFF[0,0] TIEOFF_X29Y34 |
CLBLM_R CLBLM_R_X27Y34 SLICEM SLICE[0,0] SLICE_X38Y34 SLICEL SLICE[1,0] SLICE_X39Y34 |
CLBLL_L CLBLL_L_X28Y34 SLICEL SLICE[0,0] SLICE_X40Y34 SLICEL SLICE[1,0] SLICE_X41Y34 |
INT_L INT_L_X28Y34 TIEOFF TIEOFF[0,0] TIEOFF_X30Y34 |
INT_R INT_R_X29Y34 TIEOFF TIEOFF[0,0] TIEOFF_X31Y34 |
CLBLM_R CLBLM_R_X29Y34 SLICEM SLICE[0,0] SLICE_X42Y34 SLICEL SLICE[1,0] SLICE_X43Y34 |
VBRK VBRK_X118Y36 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y34 |
INT_L INT_L_X30Y34 TIEOFF TIEOFF[0,0] TIEOFF_X32Y34 |
INT_R INT_R_X31Y34 TIEOFF TIEOFF[0,0] TIEOFF_X33Y34 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y34 |
R_TERM_INT R_TERM_INT_X125Y36 |
RIOI3 RIOI3_X31Y33 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y33 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y34 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y33 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y34 IDELAYE2 IDELAY[0,0] IDELAY_X0Y33 IDELAYE2 IDELAY[0,1] IDELAY_X0Y34 |
RIOB33 RIOB33_X31Y33 IOB33S IOB[0,0] IOB_X0Y33 IOB33M IOB[0,1] IOB_X0Y34 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y33 |
INT_L INT_L_X0Y33 TIEOFF TIEOFF[0,0] TIEOFF_X0Y33 |
INT_R INT_R_X1Y33 TIEOFF TIEOFF[0,0] TIEOFF_X1Y33 |
CLBLM_R CLBLM_R_X1Y33 SLICEM SLICE[0,0] SLICE_X0Y33 SLICEL SLICE[1,0] SLICE_X1Y33 |
CLBLL_L CLBLL_L_X2Y33 SLICEL SLICE[0,0] SLICE_X2Y33 SLICEL SLICE[1,0] SLICE_X3Y33 |
INT_L INT_L_X2Y33 TIEOFF TIEOFF[0,0] TIEOFF_X2Y33 |
INT_R INT_R_X3Y33 TIEOFF TIEOFF[0,0] TIEOFF_X3Y33 |
CLBLM_R CLBLM_R_X3Y33 SLICEM SLICE[0,0] SLICE_X4Y33 SLICEL SLICE[1,0] SLICE_X5Y33 |
VBRK VBRK_X39Y35 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y33 |
INT_L INT_L_X4Y33 TIEOFF TIEOFF[0,0] TIEOFF_X4Y33 |
INT_R INT_R_X5Y33 TIEOFF TIEOFF[0,0] TIEOFF_X5Y33 |
CLBLM_R CLBLM_R_X5Y33 SLICEM SLICE[0,0] SLICE_X6Y33 SLICEL SLICE[1,0] SLICE_X7Y33 |
CLBLM_L CLBLM_L_X6Y33 SLICEM SLICE[0,0] SLICE_X8Y33 SLICEL SLICE[1,0] SLICE_X9Y33 |
INT_L INT_L_X6Y33 TIEOFF TIEOFF[0,0] TIEOFF_X6Y33 |
INT_R INT_R_X7Y33 TIEOFF TIEOFF[0,0] TIEOFF_X7Y33 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y33 |
VBRK VBRK_X50Y35 |
CLBLM_L CLBLM_L_X8Y33 SLICEM SLICE[0,0] SLICE_X10Y33 SLICEL SLICE[1,0] SLICE_X11Y33 |
INT_L INT_L_X8Y33 TIEOFF TIEOFF[0,0] TIEOFF_X9Y33 |
INT_R INT_R_X9Y33 TIEOFF TIEOFF[0,0] TIEOFF_X10Y33 |
CLBLM_R CLBLM_R_X9Y33 SLICEM SLICE[0,0] SLICE_X12Y33 SLICEL SLICE[1,0] SLICE_X13Y33 |
VBRK VBRK_X55Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y35 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y35 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y35 |
VFRAME VFRAME_X68Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y33 |
INT_L INT_L_X10Y33 TIEOFF TIEOFF[0,0] TIEOFF_X11Y33 |
INT_R INT_R_X11Y33 TIEOFF TIEOFF[0,0] TIEOFF_X12Y33 |
CLBLL_R CLBLL_R_X11Y33 SLICEL SLICE[0,0] SLICE_X14Y33 SLICEL SLICE[1,0] SLICE_X15Y33 |
CLBLM_L CLBLM_L_X12Y33 SLICEM SLICE[0,0] SLICE_X16Y33 SLICEL SLICE[1,0] SLICE_X17Y33 |
INT_L INT_L_X12Y33 TIEOFF TIEOFF[0,0] TIEOFF_X13Y33 |
INT_R INT_R_X13Y33 TIEOFF TIEOFF[0,0] TIEOFF_X14Y33 |
CLBLL_R CLBLL_R_X13Y33 SLICEL SLICE[0,0] SLICE_X18Y33 SLICEL SLICE[1,0] SLICE_X19Y33 |
VBRK VBRK_X77Y35 |
CLBLM_L CLBLM_L_X14Y33 SLICEM SLICE[0,0] SLICE_X20Y33 SLICEL SLICE[1,0] SLICE_X21Y33 |
INT_L INT_L_X14Y33 TIEOFF TIEOFF[0,0] TIEOFF_X15Y33 |
INT_R INT_R_X15Y33 TIEOFF TIEOFF[0,0] TIEOFF_X16Y33 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y33 |
CLK_FEED CLK_FEED_X82Y35 |
VBRK VBRK_X83Y35 |
CLBLL_L CLBLL_L_X16Y33 SLICEL SLICE[0,0] SLICE_X22Y33 SLICEL SLICE[1,0] SLICE_X23Y33 |
INT_L INT_L_X16Y33 TIEOFF TIEOFF[0,0] TIEOFF_X17Y33 |
INT_R INT_R_X17Y33 TIEOFF TIEOFF[0,0] TIEOFF_X18Y33 |
CLBLM_R CLBLM_R_X17Y33 SLICEM SLICE[0,0] SLICE_X24Y33 SLICEL SLICE[1,0] SLICE_X25Y33 |
VBRK VBRK_X88Y35 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y33 |
INT_L INT_L_X18Y33 TIEOFF TIEOFF[0,0] TIEOFF_X19Y33 |
INT_R INT_R_X19Y33 TIEOFF TIEOFF[0,0] TIEOFF_X20Y33 |
CLBLL_R CLBLL_R_X19Y33 SLICEL SLICE[0,0] SLICE_X26Y33 SLICEL SLICE[1,0] SLICE_X27Y33 |
CLBLM_L CLBLM_L_X20Y33 SLICEM SLICE[0,0] SLICE_X28Y33 SLICEL SLICE[1,0] SLICE_X29Y33 |
INT_L INT_L_X20Y33 TIEOFF TIEOFF[0,0] TIEOFF_X21Y33 |
INT_R INT_R_X21Y33 TIEOFF TIEOFF[0,0] TIEOFF_X22Y33 |
CLBLM_R CLBLM_R_X21Y33 SLICEM SLICE[0,0] SLICE_X30Y33 SLICEL SLICE[1,0] SLICE_X31Y33 |
VBRK VBRK_X98Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y33 |
INT_L INT_L_X22Y33 TIEOFF TIEOFF[0,0] TIEOFF_X24Y33 |
INT_R INT_R_X23Y33 TIEOFF TIEOFF[0,0] TIEOFF_X25Y33 |
CLBLM_R CLBLM_R_X23Y33 SLICEM SLICE[0,0] SLICE_X32Y33 SLICEL SLICE[1,0] SLICE_X33Y33 |
CLBLM_L CLBLM_L_X24Y33 SLICEM SLICE[0,0] SLICE_X34Y33 SLICEL SLICE[1,0] SLICE_X35Y33 |
INT_L INT_L_X24Y33 TIEOFF TIEOFF[0,0] TIEOFF_X26Y33 |
INT_R INT_R_X25Y33 TIEOFF TIEOFF[0,0] TIEOFF_X27Y33 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y33 |
VBRK VBRK_X109Y35 |
CLBLL_L CLBLL_L_X26Y33 SLICEL SLICE[0,0] SLICE_X36Y33 SLICEL SLICE[1,0] SLICE_X37Y33 |
INT_L INT_L_X26Y33 TIEOFF TIEOFF[0,0] TIEOFF_X28Y33 |
INT_R INT_R_X27Y33 TIEOFF TIEOFF[0,0] TIEOFF_X29Y33 |
CLBLM_R CLBLM_R_X27Y33 SLICEM SLICE[0,0] SLICE_X38Y33 SLICEL SLICE[1,0] SLICE_X39Y33 |
CLBLL_L CLBLL_L_X28Y33 SLICEL SLICE[0,0] SLICE_X40Y33 SLICEL SLICE[1,0] SLICE_X41Y33 |
INT_L INT_L_X28Y33 TIEOFF TIEOFF[0,0] TIEOFF_X30Y33 |
INT_R INT_R_X29Y33 TIEOFF TIEOFF[0,0] TIEOFF_X31Y33 |
CLBLM_R CLBLM_R_X29Y33 SLICEM SLICE[0,0] SLICE_X42Y33 SLICEL SLICE[1,0] SLICE_X43Y33 |
VBRK VBRK_X118Y35 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y33 |
INT_L INT_L_X30Y33 TIEOFF TIEOFF[0,0] TIEOFF_X32Y33 |
INT_R INT_R_X31Y33 TIEOFF TIEOFF[0,0] TIEOFF_X33Y33 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y33 |
R_TERM_INT R_TERM_INT_X125Y35 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y32 |
INT_L INT_L_X0Y32 TIEOFF TIEOFF[0,0] TIEOFF_X0Y32 |
INT_R INT_R_X1Y32 TIEOFF TIEOFF[0,0] TIEOFF_X1Y32 |
CLBLM_R CLBLM_R_X1Y32 SLICEM SLICE[0,0] SLICE_X0Y32 SLICEL SLICE[1,0] SLICE_X1Y32 |
CLBLL_L CLBLL_L_X2Y32 SLICEL SLICE[0,0] SLICE_X2Y32 SLICEL SLICE[1,0] SLICE_X3Y32 |
INT_L INT_L_X2Y32 TIEOFF TIEOFF[0,0] TIEOFF_X2Y32 |
INT_R INT_R_X3Y32 TIEOFF TIEOFF[0,0] TIEOFF_X3Y32 |
CLBLM_R CLBLM_R_X3Y32 SLICEM SLICE[0,0] SLICE_X4Y32 SLICEL SLICE[1,0] SLICE_X5Y32 |
VBRK VBRK_X39Y34 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y32 |
INT_L INT_L_X4Y32 TIEOFF TIEOFF[0,0] TIEOFF_X4Y32 |
INT_R INT_R_X5Y32 TIEOFF TIEOFF[0,0] TIEOFF_X5Y32 |
CLBLM_R CLBLM_R_X5Y32 SLICEM SLICE[0,0] SLICE_X6Y32 SLICEL SLICE[1,0] SLICE_X7Y32 |
CLBLM_L CLBLM_L_X6Y32 SLICEM SLICE[0,0] SLICE_X8Y32 SLICEL SLICE[1,0] SLICE_X9Y32 |
INT_L INT_L_X6Y32 TIEOFF TIEOFF[0,0] TIEOFF_X6Y32 |
INT_R INT_R_X7Y32 TIEOFF TIEOFF[0,0] TIEOFF_X7Y32 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y32 |
VBRK VBRK_X50Y34 |
CLBLM_L CLBLM_L_X8Y32 SLICEM SLICE[0,0] SLICE_X10Y32 SLICEL SLICE[1,0] SLICE_X11Y32 |
INT_L INT_L_X8Y32 TIEOFF TIEOFF[0,0] TIEOFF_X9Y32 |
INT_R INT_R_X9Y32 TIEOFF TIEOFF[0,0] TIEOFF_X10Y32 |
CLBLM_R CLBLM_R_X9Y32 SLICEM SLICE[0,0] SLICE_X12Y32 SLICEL SLICE[1,0] SLICE_X13Y32 |
VBRK VBRK_X55Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y34 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y34 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y34 |
VFRAME VFRAME_X68Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y32 |
INT_L INT_L_X10Y32 TIEOFF TIEOFF[0,0] TIEOFF_X11Y32 |
INT_R INT_R_X11Y32 TIEOFF TIEOFF[0,0] TIEOFF_X12Y32 |
CLBLL_R CLBLL_R_X11Y32 SLICEL SLICE[0,0] SLICE_X14Y32 SLICEL SLICE[1,0] SLICE_X15Y32 |
CLBLM_L CLBLM_L_X12Y32 SLICEM SLICE[0,0] SLICE_X16Y32 SLICEL SLICE[1,0] SLICE_X17Y32 |
INT_L INT_L_X12Y32 TIEOFF TIEOFF[0,0] TIEOFF_X13Y32 |
INT_R INT_R_X13Y32 TIEOFF TIEOFF[0,0] TIEOFF_X14Y32 |
CLBLL_R CLBLL_R_X13Y32 SLICEL SLICE[0,0] SLICE_X18Y32 SLICEL SLICE[1,0] SLICE_X19Y32 |
VBRK VBRK_X77Y34 |
CLBLM_L CLBLM_L_X14Y32 SLICEM SLICE[0,0] SLICE_X20Y32 SLICEL SLICE[1,0] SLICE_X21Y32 |
INT_L INT_L_X14Y32 TIEOFF TIEOFF[0,0] TIEOFF_X15Y32 |
INT_R INT_R_X15Y32 TIEOFF TIEOFF[0,0] TIEOFF_X16Y32 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y32 |
CLK_PMV2_SVT CLK_PMV2_SVT_X82Y34 PMV2_SVT PMV[0,0] PMV_X0Y1 |
VBRK VBRK_X83Y34 |
CLBLL_L CLBLL_L_X16Y32 SLICEL SLICE[0,0] SLICE_X22Y32 SLICEL SLICE[1,0] SLICE_X23Y32 |
INT_L INT_L_X16Y32 TIEOFF TIEOFF[0,0] TIEOFF_X17Y32 |
INT_R INT_R_X17Y32 TIEOFF TIEOFF[0,0] TIEOFF_X18Y32 |
CLBLM_R CLBLM_R_X17Y32 SLICEM SLICE[0,0] SLICE_X24Y32 SLICEL SLICE[1,0] SLICE_X25Y32 |
VBRK VBRK_X88Y34 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y32 |
INT_L INT_L_X18Y32 TIEOFF TIEOFF[0,0] TIEOFF_X19Y32 |
INT_R INT_R_X19Y32 TIEOFF TIEOFF[0,0] TIEOFF_X20Y32 |
CLBLL_R CLBLL_R_X19Y32 SLICEL SLICE[0,0] SLICE_X26Y32 SLICEL SLICE[1,0] SLICE_X27Y32 |
CLBLM_L CLBLM_L_X20Y32 SLICEM SLICE[0,0] SLICE_X28Y32 SLICEL SLICE[1,0] SLICE_X29Y32 |
INT_L INT_L_X20Y32 TIEOFF TIEOFF[0,0] TIEOFF_X21Y32 |
INT_R INT_R_X21Y32 TIEOFF TIEOFF[0,0] TIEOFF_X22Y32 |
CLBLM_R CLBLM_R_X21Y32 SLICEM SLICE[0,0] SLICE_X30Y32 SLICEL SLICE[1,0] SLICE_X31Y32 |
VBRK VBRK_X98Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y32 |
INT_L INT_L_X22Y32 TIEOFF TIEOFF[0,0] TIEOFF_X24Y32 |
INT_R INT_R_X23Y32 TIEOFF TIEOFF[0,0] TIEOFF_X25Y32 |
CLBLM_R CLBLM_R_X23Y32 SLICEM SLICE[0,0] SLICE_X32Y32 SLICEL SLICE[1,0] SLICE_X33Y32 |
CLBLM_L CLBLM_L_X24Y32 SLICEM SLICE[0,0] SLICE_X34Y32 SLICEL SLICE[1,0] SLICE_X35Y32 |
INT_L INT_L_X24Y32 TIEOFF TIEOFF[0,0] TIEOFF_X26Y32 |
INT_R INT_R_X25Y32 TIEOFF TIEOFF[0,0] TIEOFF_X27Y32 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y32 |
VBRK VBRK_X109Y34 |
CLBLL_L CLBLL_L_X26Y32 SLICEL SLICE[0,0] SLICE_X36Y32 SLICEL SLICE[1,0] SLICE_X37Y32 |
INT_L INT_L_X26Y32 TIEOFF TIEOFF[0,0] TIEOFF_X28Y32 |
INT_R INT_R_X27Y32 TIEOFF TIEOFF[0,0] TIEOFF_X29Y32 |
CLBLM_R CLBLM_R_X27Y32 SLICEM SLICE[0,0] SLICE_X38Y32 SLICEL SLICE[1,0] SLICE_X39Y32 |
CLBLL_L CLBLL_L_X28Y32 SLICEL SLICE[0,0] SLICE_X40Y32 SLICEL SLICE[1,0] SLICE_X41Y32 |
INT_L INT_L_X28Y32 TIEOFF TIEOFF[0,0] TIEOFF_X30Y32 |
INT_R INT_R_X29Y32 TIEOFF TIEOFF[0,0] TIEOFF_X31Y32 |
CLBLM_R CLBLM_R_X29Y32 SLICEM SLICE[0,0] SLICE_X42Y32 SLICEL SLICE[1,0] SLICE_X43Y32 |
VBRK VBRK_X118Y34 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y32 |
INT_L INT_L_X30Y32 TIEOFF TIEOFF[0,0] TIEOFF_X32Y32 |
INT_R INT_R_X31Y32 TIEOFF TIEOFF[0,0] TIEOFF_X33Y32 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y32 |
R_TERM_INT R_TERM_INT_X125Y34 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y31 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y31 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y32 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y31 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y32 IDELAYE2 IDELAY[0,0] IDELAY_X0Y31 IDELAYE2 IDELAY[0,1] IDELAY_X0Y32 |
RIOB33 RIOB33_X31Y31 IOB33S IOB[0,0] IOB_X0Y31 IOB33M IOB[0,1] IOB_X0Y32 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y31 |
INT_L INT_L_X0Y31 TIEOFF TIEOFF[0,0] TIEOFF_X0Y31 |
INT_R INT_R_X1Y31 TIEOFF TIEOFF[0,0] TIEOFF_X1Y31 |
CLBLM_R CLBLM_R_X1Y31 SLICEM SLICE[0,0] SLICE_X0Y31 SLICEL SLICE[1,0] SLICE_X1Y31 |
CLBLL_L CLBLL_L_X2Y31 SLICEL SLICE[0,0] SLICE_X2Y31 SLICEL SLICE[1,0] SLICE_X3Y31 |
INT_L INT_L_X2Y31 TIEOFF TIEOFF[0,0] TIEOFF_X2Y31 |
INT_R INT_R_X3Y31 TIEOFF TIEOFF[0,0] TIEOFF_X3Y31 |
CLBLM_R CLBLM_R_X3Y31 SLICEM SLICE[0,0] SLICE_X4Y31 SLICEL SLICE[1,0] SLICE_X5Y31 |
VBRK VBRK_X39Y33 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y31 |
INT_L INT_L_X4Y31 TIEOFF TIEOFF[0,0] TIEOFF_X4Y31 |
INT_R INT_R_X5Y31 TIEOFF TIEOFF[0,0] TIEOFF_X5Y31 |
CLBLM_R CLBLM_R_X5Y31 SLICEM SLICE[0,0] SLICE_X6Y31 SLICEL SLICE[1,0] SLICE_X7Y31 |
CLBLM_L CLBLM_L_X6Y31 SLICEM SLICE[0,0] SLICE_X8Y31 SLICEL SLICE[1,0] SLICE_X9Y31 |
INT_L INT_L_X6Y31 TIEOFF TIEOFF[0,0] TIEOFF_X6Y31 |
INT_R INT_R_X7Y31 TIEOFF TIEOFF[0,0] TIEOFF_X7Y31 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y31 |
VBRK VBRK_X50Y33 |
CLBLM_L CLBLM_L_X8Y31 SLICEM SLICE[0,0] SLICE_X10Y31 SLICEL SLICE[1,0] SLICE_X11Y31 |
INT_L INT_L_X8Y31 TIEOFF TIEOFF[0,0] TIEOFF_X9Y31 |
INT_R INT_R_X9Y31 TIEOFF TIEOFF[0,0] TIEOFF_X10Y31 |
CLBLM_R CLBLM_R_X9Y31 SLICEM SLICE[0,0] SLICE_X12Y31 SLICEL SLICE[1,0] SLICE_X13Y31 |
VBRK VBRK_X55Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y33 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y33 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y33 |
VFRAME VFRAME_X68Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y31 |
INT_L INT_L_X10Y31 TIEOFF TIEOFF[0,0] TIEOFF_X11Y31 |
INT_R INT_R_X11Y31 TIEOFF TIEOFF[0,0] TIEOFF_X12Y31 |
CLBLL_R CLBLL_R_X11Y31 SLICEL SLICE[0,0] SLICE_X14Y31 SLICEL SLICE[1,0] SLICE_X15Y31 |
CLBLM_L CLBLM_L_X12Y31 SLICEM SLICE[0,0] SLICE_X16Y31 SLICEL SLICE[1,0] SLICE_X17Y31 |
INT_L INT_L_X12Y31 TIEOFF TIEOFF[0,0] TIEOFF_X13Y31 |
INT_R INT_R_X13Y31 TIEOFF TIEOFF[0,0] TIEOFF_X14Y31 |
CLBLL_R CLBLL_R_X13Y31 SLICEL SLICE[0,0] SLICE_X18Y31 SLICEL SLICE[1,0] SLICE_X19Y31 |
VBRK VBRK_X77Y33 |
CLBLM_L CLBLM_L_X14Y31 SLICEM SLICE[0,0] SLICE_X20Y31 SLICEL SLICE[1,0] SLICE_X21Y31 |
INT_L INT_L_X14Y31 TIEOFF TIEOFF[0,0] TIEOFF_X15Y31 |
INT_R INT_R_X15Y31 TIEOFF TIEOFF[0,0] TIEOFF_X16Y31 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y31 |
CLK_FEED CLK_FEED_X82Y33 |
VBRK VBRK_X83Y33 |
CLBLL_L CLBLL_L_X16Y31 SLICEL SLICE[0,0] SLICE_X22Y31 SLICEL SLICE[1,0] SLICE_X23Y31 |
INT_L INT_L_X16Y31 TIEOFF TIEOFF[0,0] TIEOFF_X17Y31 |
INT_R INT_R_X17Y31 TIEOFF TIEOFF[0,0] TIEOFF_X18Y31 |
CLBLM_R CLBLM_R_X17Y31 SLICEM SLICE[0,0] SLICE_X24Y31 SLICEL SLICE[1,0] SLICE_X25Y31 |
VBRK VBRK_X88Y33 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y31 |
INT_L INT_L_X18Y31 TIEOFF TIEOFF[0,0] TIEOFF_X19Y31 |
INT_R INT_R_X19Y31 TIEOFF TIEOFF[0,0] TIEOFF_X20Y31 |
CLBLL_R CLBLL_R_X19Y31 SLICEL SLICE[0,0] SLICE_X26Y31 SLICEL SLICE[1,0] SLICE_X27Y31 |
CLBLM_L CLBLM_L_X20Y31 SLICEM SLICE[0,0] SLICE_X28Y31 SLICEL SLICE[1,0] SLICE_X29Y31 |
INT_L INT_L_X20Y31 TIEOFF TIEOFF[0,0] TIEOFF_X21Y31 |
INT_R INT_R_X21Y31 TIEOFF TIEOFF[0,0] TIEOFF_X22Y31 |
CLBLM_R CLBLM_R_X21Y31 SLICEM SLICE[0,0] SLICE_X30Y31 SLICEL SLICE[1,0] SLICE_X31Y31 |
VBRK VBRK_X98Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y31 |
INT_L INT_L_X22Y31 TIEOFF TIEOFF[0,0] TIEOFF_X24Y31 |
INT_R INT_R_X23Y31 TIEOFF TIEOFF[0,0] TIEOFF_X25Y31 |
CLBLM_R CLBLM_R_X23Y31 SLICEM SLICE[0,0] SLICE_X32Y31 SLICEL SLICE[1,0] SLICE_X33Y31 |
CLBLM_L CLBLM_L_X24Y31 SLICEM SLICE[0,0] SLICE_X34Y31 SLICEL SLICE[1,0] SLICE_X35Y31 |
INT_L INT_L_X24Y31 TIEOFF TIEOFF[0,0] TIEOFF_X26Y31 |
INT_R INT_R_X25Y31 TIEOFF TIEOFF[0,0] TIEOFF_X27Y31 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y31 |
VBRK VBRK_X109Y33 |
CLBLL_L CLBLL_L_X26Y31 SLICEL SLICE[0,0] SLICE_X36Y31 SLICEL SLICE[1,0] SLICE_X37Y31 |
INT_L INT_L_X26Y31 TIEOFF TIEOFF[0,0] TIEOFF_X28Y31 |
INT_R INT_R_X27Y31 TIEOFF TIEOFF[0,0] TIEOFF_X29Y31 |
CLBLM_R CLBLM_R_X27Y31 SLICEM SLICE[0,0] SLICE_X38Y31 SLICEL SLICE[1,0] SLICE_X39Y31 |
CLBLL_L CLBLL_L_X28Y31 SLICEL SLICE[0,0] SLICE_X40Y31 SLICEL SLICE[1,0] SLICE_X41Y31 |
INT_L INT_L_X28Y31 TIEOFF TIEOFF[0,0] TIEOFF_X30Y31 |
INT_R INT_R_X29Y31 TIEOFF TIEOFF[0,0] TIEOFF_X31Y31 |
CLBLM_R CLBLM_R_X29Y31 SLICEM SLICE[0,0] SLICE_X42Y31 SLICEL SLICE[1,0] SLICE_X43Y31 |
VBRK VBRK_X118Y33 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y31 |
INT_L INT_L_X30Y31 TIEOFF TIEOFF[0,0] TIEOFF_X32Y31 |
INT_R INT_R_X31Y31 TIEOFF TIEOFF[0,0] TIEOFF_X33Y31 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y31 |
R_TERM_INT R_TERM_INT_X125Y33 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y30 |
INT_L INT_L_X0Y30 TIEOFF TIEOFF[0,0] TIEOFF_X0Y30 |
INT_R INT_R_X1Y30 TIEOFF TIEOFF[0,0] TIEOFF_X1Y30 |
CLBLM_R CLBLM_R_X1Y30 SLICEM SLICE[0,0] SLICE_X0Y30 SLICEL SLICE[1,0] SLICE_X1Y30 |
CLBLL_L CLBLL_L_X2Y30 SLICEL SLICE[0,0] SLICE_X2Y30 SLICEL SLICE[1,0] SLICE_X3Y30 |
INT_L INT_L_X2Y30 TIEOFF TIEOFF[0,0] TIEOFF_X2Y30 |
INT_R INT_R_X3Y30 TIEOFF TIEOFF[0,0] TIEOFF_X3Y30 |
CLBLM_R CLBLM_R_X3Y30 SLICEM SLICE[0,0] SLICE_X4Y30 SLICEL SLICE[1,0] SLICE_X5Y30 |
VBRK VBRK_X39Y32 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y30 |
INT_L INT_L_X4Y30 TIEOFF TIEOFF[0,0] TIEOFF_X4Y30 |
INT_R INT_R_X5Y30 TIEOFF TIEOFF[0,0] TIEOFF_X5Y30 |
CLBLM_R CLBLM_R_X5Y30 SLICEM SLICE[0,0] SLICE_X6Y30 SLICEL SLICE[1,0] SLICE_X7Y30 |
CLBLM_L CLBLM_L_X6Y30 SLICEM SLICE[0,0] SLICE_X8Y30 SLICEL SLICE[1,0] SLICE_X9Y30 |
INT_L INT_L_X6Y30 TIEOFF TIEOFF[0,0] TIEOFF_X6Y30 |
INT_R INT_R_X7Y30 TIEOFF TIEOFF[0,0] TIEOFF_X7Y30 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y30 |
VBRK VBRK_X50Y32 |
CLBLM_L CLBLM_L_X8Y30 SLICEM SLICE[0,0] SLICE_X10Y30 SLICEL SLICE[1,0] SLICE_X11Y30 |
INT_L INT_L_X8Y30 TIEOFF TIEOFF[0,0] TIEOFF_X9Y30 |
INT_R INT_R_X9Y30 TIEOFF TIEOFF[0,0] TIEOFF_X10Y30 |
CLBLM_R CLBLM_R_X9Y30 SLICEM SLICE[0,0] SLICE_X12Y30 SLICEL SLICE[1,0] SLICE_X13Y30 |
VBRK VBRK_X55Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y32 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y32 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y32 |
VFRAME VFRAME_X68Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y30 |
INT_L INT_L_X10Y30 TIEOFF TIEOFF[0,0] TIEOFF_X11Y30 |
INT_R INT_R_X11Y30 TIEOFF TIEOFF[0,0] TIEOFF_X12Y30 |
CLBLL_R CLBLL_R_X11Y30 SLICEL SLICE[0,0] SLICE_X14Y30 SLICEL SLICE[1,0] SLICE_X15Y30 |
CLBLM_L CLBLM_L_X12Y30 SLICEM SLICE[0,0] SLICE_X16Y30 SLICEL SLICE[1,0] SLICE_X17Y30 |
INT_L INT_L_X12Y30 TIEOFF TIEOFF[0,0] TIEOFF_X13Y30 |
INT_R INT_R_X13Y30 TIEOFF TIEOFF[0,0] TIEOFF_X14Y30 |
CLBLL_R CLBLL_R_X13Y30 SLICEL SLICE[0,0] SLICE_X18Y30 SLICEL SLICE[1,0] SLICE_X19Y30 |
VBRK VBRK_X77Y32 |
CLBLM_L CLBLM_L_X14Y30 SLICEM SLICE[0,0] SLICE_X20Y30 SLICEL SLICE[1,0] SLICE_X21Y30 |
INT_L INT_L_X14Y30 TIEOFF TIEOFF[0,0] TIEOFF_X15Y30 |
INT_R INT_R_X15Y30 TIEOFF TIEOFF[0,0] TIEOFF_X16Y30 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y30 |
CLK_FEED CLK_FEED_X82Y32 |
VBRK VBRK_X83Y32 |
CLBLL_L CLBLL_L_X16Y30 SLICEL SLICE[0,0] SLICE_X22Y30 SLICEL SLICE[1,0] SLICE_X23Y30 |
INT_L INT_L_X16Y30 TIEOFF TIEOFF[0,0] TIEOFF_X17Y30 |
INT_R INT_R_X17Y30 TIEOFF TIEOFF[0,0] TIEOFF_X18Y30 |
CLBLM_R CLBLM_R_X17Y30 SLICEM SLICE[0,0] SLICE_X24Y30 SLICEL SLICE[1,0] SLICE_X25Y30 |
VBRK VBRK_X88Y32 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y30 |
INT_L INT_L_X18Y30 TIEOFF TIEOFF[0,0] TIEOFF_X19Y30 |
INT_R INT_R_X19Y30 TIEOFF TIEOFF[0,0] TIEOFF_X20Y30 |
CLBLL_R CLBLL_R_X19Y30 SLICEL SLICE[0,0] SLICE_X26Y30 SLICEL SLICE[1,0] SLICE_X27Y30 |
CLBLM_L CLBLM_L_X20Y30 SLICEM SLICE[0,0] SLICE_X28Y30 SLICEL SLICE[1,0] SLICE_X29Y30 |
INT_L INT_L_X20Y30 TIEOFF TIEOFF[0,0] TIEOFF_X21Y30 |
INT_R INT_R_X21Y30 TIEOFF TIEOFF[0,0] TIEOFF_X22Y30 |
CLBLM_R CLBLM_R_X21Y30 SLICEM SLICE[0,0] SLICE_X30Y30 SLICEL SLICE[1,0] SLICE_X31Y30 |
VBRK VBRK_X98Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y30 |
INT_L INT_L_X22Y30 TIEOFF TIEOFF[0,0] TIEOFF_X24Y30 |
INT_R INT_R_X23Y30 TIEOFF TIEOFF[0,0] TIEOFF_X25Y30 |
CLBLM_R CLBLM_R_X23Y30 SLICEM SLICE[0,0] SLICE_X32Y30 SLICEL SLICE[1,0] SLICE_X33Y30 |
CLBLM_L CLBLM_L_X24Y30 SLICEM SLICE[0,0] SLICE_X34Y30 SLICEL SLICE[1,0] SLICE_X35Y30 |
INT_L INT_L_X24Y30 TIEOFF TIEOFF[0,0] TIEOFF_X26Y30 |
INT_R INT_R_X25Y30 TIEOFF TIEOFF[0,0] TIEOFF_X27Y30 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y30 |
VBRK VBRK_X109Y32 |
CLBLL_L CLBLL_L_X26Y30 SLICEL SLICE[0,0] SLICE_X36Y30 SLICEL SLICE[1,0] SLICE_X37Y30 |
INT_L INT_L_X26Y30 TIEOFF TIEOFF[0,0] TIEOFF_X28Y30 |
INT_R INT_R_X27Y30 TIEOFF TIEOFF[0,0] TIEOFF_X29Y30 |
CLBLM_R CLBLM_R_X27Y30 SLICEM SLICE[0,0] SLICE_X38Y30 SLICEL SLICE[1,0] SLICE_X39Y30 |
CLBLL_L CLBLL_L_X28Y30 SLICEL SLICE[0,0] SLICE_X40Y30 SLICEL SLICE[1,0] SLICE_X41Y30 |
INT_L INT_L_X28Y30 TIEOFF TIEOFF[0,0] TIEOFF_X30Y30 |
INT_R INT_R_X29Y30 TIEOFF TIEOFF[0,0] TIEOFF_X31Y30 |
CLBLM_R CLBLM_R_X29Y30 SLICEM SLICE[0,0] SLICE_X42Y30 SLICEL SLICE[1,0] SLICE_X43Y30 |
VBRK VBRK_X118Y32 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y30 |
INT_L INT_L_X30Y30 TIEOFF TIEOFF[0,0] TIEOFF_X32Y30 |
INT_R INT_R_X31Y30 TIEOFF TIEOFF[0,0] TIEOFF_X33Y30 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y30 |
R_TERM_INT R_TERM_INT_X125Y32 |
RIOI3 RIOI3_X31Y29 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y29 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y30 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y29 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y30 IDELAYE2 IDELAY[0,0] IDELAY_X0Y29 IDELAYE2 IDELAY[0,1] IDELAY_X0Y30 |
RIOB33 RIOB33_X31Y29 IOB33S IOB[0,0] IOB_X0Y29 IOB33M IOB[0,1] IOB_X0Y30 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y29 |
INT_L INT_L_X0Y29 TIEOFF TIEOFF[0,0] TIEOFF_X0Y29 |
INT_R INT_R_X1Y29 TIEOFF TIEOFF[0,0] TIEOFF_X1Y29 |
CLBLM_R CLBLM_R_X1Y29 SLICEM SLICE[0,0] SLICE_X0Y29 SLICEL SLICE[1,0] SLICE_X1Y29 |
CLBLL_L CLBLL_L_X2Y29 SLICEL SLICE[0,0] SLICE_X2Y29 SLICEL SLICE[1,0] SLICE_X3Y29 |
INT_L INT_L_X2Y29 TIEOFF TIEOFF[0,0] TIEOFF_X2Y29 |
INT_R INT_R_X3Y29 TIEOFF TIEOFF[0,0] TIEOFF_X3Y29 |
CLBLM_R CLBLM_R_X3Y29 SLICEM SLICE[0,0] SLICE_X4Y29 SLICEL SLICE[1,0] SLICE_X5Y29 |
VBRK VBRK_X39Y31 |
BRAM_L BRAM_L_X4Y25 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y5 FIFO18E1 RAMB18[0,0] RAMB18_X0Y10 RAMB18E1 RAMB18[0,1] RAMB18_X0Y11 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y29 |
INT_L INT_L_X4Y29 TIEOFF TIEOFF[0,0] TIEOFF_X4Y29 |
INT_R INT_R_X5Y29 TIEOFF TIEOFF[0,0] TIEOFF_X5Y29 |
CLBLM_R CLBLM_R_X5Y29 SLICEM SLICE[0,0] SLICE_X6Y29 SLICEL SLICE[1,0] SLICE_X7Y29 |
CLBLM_L CLBLM_L_X6Y29 SLICEM SLICE[0,0] SLICE_X8Y29 SLICEL SLICE[1,0] SLICE_X9Y29 |
INT_L INT_L_X6Y29 TIEOFF TIEOFF[0,0] TIEOFF_X6Y29 |
INT_R INT_R_X7Y29 TIEOFF TIEOFF[0,0] TIEOFF_X7Y29 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y29 |
DSP_R DSP_R_X7Y25 TIEOFF TIEOFF[0,0] TIEOFF_X8Y25 DSP48E1 DSP48[0,0] DSP48_X0Y10 DSP48E1 DSP48[0,1] DSP48_X0Y11 |
VBRK VBRK_X50Y31 |
CLBLM_L CLBLM_L_X8Y29 SLICEM SLICE[0,0] SLICE_X10Y29 SLICEL SLICE[1,0] SLICE_X11Y29 |
INT_L INT_L_X8Y29 TIEOFF TIEOFF[0,0] TIEOFF_X9Y29 |
INT_R INT_R_X9Y29 TIEOFF TIEOFF[0,0] TIEOFF_X10Y29 |
CLBLM_R CLBLM_R_X9Y29 SLICEM SLICE[0,0] SLICE_X12Y29 SLICEL SLICE[1,0] SLICE_X13Y29 |
VBRK VBRK_X55Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y31 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y31 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y31 |
VFRAME VFRAME_X68Y31 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y29 |
INT_L INT_L_X10Y29 TIEOFF TIEOFF[0,0] TIEOFF_X11Y29 |
INT_R INT_R_X11Y29 TIEOFF TIEOFF[0,0] TIEOFF_X12Y29 |
CLBLL_R CLBLL_R_X11Y29 SLICEL SLICE[0,0] SLICE_X14Y29 SLICEL SLICE[1,0] SLICE_X15Y29 |
CLBLM_L CLBLM_L_X12Y29 SLICEM SLICE[0,0] SLICE_X16Y29 SLICEL SLICE[1,0] SLICE_X17Y29 |
INT_L INT_L_X12Y29 TIEOFF TIEOFF[0,0] TIEOFF_X13Y29 |
INT_R INT_R_X13Y29 TIEOFF TIEOFF[0,0] TIEOFF_X14Y29 |
CLBLL_R CLBLL_R_X13Y29 SLICEL SLICE[0,0] SLICE_X18Y29 SLICEL SLICE[1,0] SLICE_X19Y29 |
VBRK VBRK_X77Y31 |
CLBLM_L CLBLM_L_X14Y29 SLICEM SLICE[0,0] SLICE_X20Y29 SLICEL SLICE[1,0] SLICE_X21Y29 |
INT_L INT_L_X14Y29 TIEOFF TIEOFF[0,0] TIEOFF_X15Y29 |
INT_R INT_R_X15Y29 TIEOFF TIEOFF[0,0] TIEOFF_X16Y29 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y29 |
CLK_FEED CLK_FEED_X82Y31 |
VBRK VBRK_X83Y31 |
CLBLL_L CLBLL_L_X16Y29 SLICEL SLICE[0,0] SLICE_X22Y29 SLICEL SLICE[1,0] SLICE_X23Y29 |
INT_L INT_L_X16Y29 TIEOFF TIEOFF[0,0] TIEOFF_X17Y29 |
INT_R INT_R_X17Y29 TIEOFF TIEOFF[0,0] TIEOFF_X18Y29 |
CLBLM_R CLBLM_R_X17Y29 SLICEM SLICE[0,0] SLICE_X24Y29 SLICEL SLICE[1,0] SLICE_X25Y29 |
VBRK VBRK_X88Y31 |
BRAM_L BRAM_L_X18Y25 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y5 FIFO18E1 RAMB18[0,0] RAMB18_X1Y10 RAMB18E1 RAMB18[0,1] RAMB18_X1Y11 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y29 |
INT_L INT_L_X18Y29 TIEOFF TIEOFF[0,0] TIEOFF_X19Y29 |
INT_R INT_R_X19Y29 TIEOFF TIEOFF[0,0] TIEOFF_X20Y29 |
CLBLL_R CLBLL_R_X19Y29 SLICEL SLICE[0,0] SLICE_X26Y29 SLICEL SLICE[1,0] SLICE_X27Y29 |
CLBLM_L CLBLM_L_X20Y29 SLICEM SLICE[0,0] SLICE_X28Y29 SLICEL SLICE[1,0] SLICE_X29Y29 |
INT_L INT_L_X20Y29 TIEOFF TIEOFF[0,0] TIEOFF_X21Y29 |
INT_R INT_R_X21Y29 TIEOFF TIEOFF[0,0] TIEOFF_X22Y29 |
CLBLM_R CLBLM_R_X21Y29 SLICEM SLICE[0,0] SLICE_X30Y29 SLICEL SLICE[1,0] SLICE_X31Y29 |
VBRK VBRK_X98Y31 |
DSP_L DSP_L_X22Y25 TIEOFF TIEOFF[0,0] TIEOFF_X23Y25 DSP48E1 DSP48[0,0] DSP48_X1Y10 DSP48E1 DSP48[0,1] DSP48_X1Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y29 |
INT_L INT_L_X22Y29 TIEOFF TIEOFF[0,0] TIEOFF_X24Y29 |
INT_R INT_R_X23Y29 TIEOFF TIEOFF[0,0] TIEOFF_X25Y29 |
CLBLM_R CLBLM_R_X23Y29 SLICEM SLICE[0,0] SLICE_X32Y29 SLICEL SLICE[1,0] SLICE_X33Y29 |
CLBLM_L CLBLM_L_X24Y29 SLICEM SLICE[0,0] SLICE_X34Y29 SLICEL SLICE[1,0] SLICE_X35Y29 |
INT_L INT_L_X24Y29 TIEOFF TIEOFF[0,0] TIEOFF_X26Y29 |
INT_R INT_R_X25Y29 TIEOFF TIEOFF[0,0] TIEOFF_X27Y29 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y29 |
BRAM_R BRAM_R_X25Y25 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y5 FIFO18E1 RAMB18[0,0] RAMB18_X2Y10 RAMB18E1 RAMB18[0,1] RAMB18_X2Y11 |
VBRK VBRK_X109Y31 |
CLBLL_L CLBLL_L_X26Y29 SLICEL SLICE[0,0] SLICE_X36Y29 SLICEL SLICE[1,0] SLICE_X37Y29 |
INT_L INT_L_X26Y29 TIEOFF TIEOFF[0,0] TIEOFF_X28Y29 |
INT_R INT_R_X27Y29 TIEOFF TIEOFF[0,0] TIEOFF_X29Y29 |
CLBLM_R CLBLM_R_X27Y29 SLICEM SLICE[0,0] SLICE_X38Y29 SLICEL SLICE[1,0] SLICE_X39Y29 |
CLBLL_L CLBLL_L_X28Y29 SLICEL SLICE[0,0] SLICE_X40Y29 SLICEL SLICE[1,0] SLICE_X41Y29 |
INT_L INT_L_X28Y29 TIEOFF TIEOFF[0,0] TIEOFF_X30Y29 |
INT_R INT_R_X29Y29 TIEOFF TIEOFF[0,0] TIEOFF_X31Y29 |
CLBLM_R CLBLM_R_X29Y29 SLICEM SLICE[0,0] SLICE_X42Y29 SLICEL SLICE[1,0] SLICE_X43Y29 |
VBRK VBRK_X118Y31 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y29 |
INT_L INT_L_X30Y29 TIEOFF TIEOFF[0,0] TIEOFF_X32Y29 |
INT_R INT_R_X31Y29 TIEOFF TIEOFF[0,0] TIEOFF_X33Y29 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y29 |
R_TERM_INT R_TERM_INT_X125Y31 |
|||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y28 |
INT_L INT_L_X0Y28 TIEOFF TIEOFF[0,0] TIEOFF_X0Y28 |
INT_R INT_R_X1Y28 TIEOFF TIEOFF[0,0] TIEOFF_X1Y28 |
CLBLM_R CLBLM_R_X1Y28 SLICEM SLICE[0,0] SLICE_X0Y28 SLICEL SLICE[1,0] SLICE_X1Y28 |
CLBLL_L CLBLL_L_X2Y28 SLICEL SLICE[0,0] SLICE_X2Y28 SLICEL SLICE[1,0] SLICE_X3Y28 |
INT_L INT_L_X2Y28 TIEOFF TIEOFF[0,0] TIEOFF_X2Y28 |
INT_R INT_R_X3Y28 TIEOFF TIEOFF[0,0] TIEOFF_X3Y28 |
CLBLM_R CLBLM_R_X3Y28 SLICEM SLICE[0,0] SLICE_X4Y28 SLICEL SLICE[1,0] SLICE_X5Y28 |
VBRK VBRK_X39Y30 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y28 |
INT_L INT_L_X4Y28 TIEOFF TIEOFF[0,0] TIEOFF_X4Y28 |
INT_R INT_R_X5Y28 TIEOFF TIEOFF[0,0] TIEOFF_X5Y28 |
CLBLM_R CLBLM_R_X5Y28 SLICEM SLICE[0,0] SLICE_X6Y28 SLICEL SLICE[1,0] SLICE_X7Y28 |
CLBLM_L CLBLM_L_X6Y28 SLICEM SLICE[0,0] SLICE_X8Y28 SLICEL SLICE[1,0] SLICE_X9Y28 |
INT_L INT_L_X6Y28 TIEOFF TIEOFF[0,0] TIEOFF_X6Y28 |
INT_R INT_R_X7Y28 TIEOFF TIEOFF[0,0] TIEOFF_X7Y28 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y28 |
VBRK VBRK_X50Y30 |
CLBLM_L CLBLM_L_X8Y28 SLICEM SLICE[0,0] SLICE_X10Y28 SLICEL SLICE[1,0] SLICE_X11Y28 |
INT_L INT_L_X8Y28 TIEOFF TIEOFF[0,0] TIEOFF_X9Y28 |
INT_R INT_R_X9Y28 TIEOFF TIEOFF[0,0] TIEOFF_X10Y28 |
CLBLM_R CLBLM_R_X9Y28 SLICEM SLICE[0,0] SLICE_X12Y28 SLICEL SLICE[1,0] SLICE_X13Y28 |
VBRK VBRK_X55Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y30 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y30 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y30 |
VFRAME VFRAME_X68Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y28 |
INT_L INT_L_X10Y28 TIEOFF TIEOFF[0,0] TIEOFF_X11Y28 |
INT_R INT_R_X11Y28 TIEOFF TIEOFF[0,0] TIEOFF_X12Y28 |
CLBLL_R CLBLL_R_X11Y28 SLICEL SLICE[0,0] SLICE_X14Y28 SLICEL SLICE[1,0] SLICE_X15Y28 |
CLBLM_L CLBLM_L_X12Y28 SLICEM SLICE[0,0] SLICE_X16Y28 SLICEL SLICE[1,0] SLICE_X17Y28 |
INT_L INT_L_X12Y28 TIEOFF TIEOFF[0,0] TIEOFF_X13Y28 |
INT_R INT_R_X13Y28 TIEOFF TIEOFF[0,0] TIEOFF_X14Y28 |
CLBLL_R CLBLL_R_X13Y28 SLICEL SLICE[0,0] SLICE_X18Y28 SLICEL SLICE[1,0] SLICE_X19Y28 |
VBRK VBRK_X77Y30 |
CLBLM_L CLBLM_L_X14Y28 SLICEM SLICE[0,0] SLICE_X20Y28 SLICEL SLICE[1,0] SLICE_X21Y28 |
INT_L INT_L_X14Y28 TIEOFF TIEOFF[0,0] TIEOFF_X15Y28 |
INT_R INT_R_X15Y28 TIEOFF TIEOFF[0,0] TIEOFF_X16Y28 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y28 |
CLK_HROW_BOT_R CLK_HROW_BOT_R_X82Y26 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y16 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y17 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y18 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y19 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y20 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y21 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y22 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y23 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y24 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y25 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y26 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y27 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y28 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y29 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y30 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y31 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y16 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y17 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y18 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y19 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y20 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y21 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y22 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y23 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y24 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y25 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y26 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y27 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y28 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y29 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y30 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y31 GCLK_TEST_BUF GCLK_TEST_BUF[3,0] GCLK_TEST_BUF_X3Y16 GCLK_TEST_BUF GCLK_TEST_BUF[3,1] GCLK_TEST_BUF_X3Y17 BUFHCE BUFHCE[0,0] BUFHCE_X0Y0 BUFHCE BUFHCE[0,1] BUFHCE_X0Y1 BUFHCE BUFHCE[0,2] BUFHCE_X0Y2 BUFHCE BUFHCE[0,3] BUFHCE_X0Y3 BUFHCE BUFHCE[0,4] BUFHCE_X0Y4 BUFHCE BUFHCE[0,5] BUFHCE_X0Y5 BUFHCE BUFHCE[0,6] BUFHCE_X0Y6 BUFHCE BUFHCE[0,7] BUFHCE_X0Y7 BUFHCE BUFHCE[0,8] BUFHCE_X0Y8 BUFHCE BUFHCE[0,9] BUFHCE_X0Y9 BUFHCE BUFHCE[0,10] BUFHCE_X0Y10 BUFHCE BUFHCE[0,11] BUFHCE_X0Y11 BUFHCE BUFHCE[1,0] BUFHCE_X1Y0 BUFHCE BUFHCE[1,1] BUFHCE_X1Y1 BUFHCE BUFHCE[1,2] BUFHCE_X1Y2 BUFHCE BUFHCE[1,3] BUFHCE_X1Y3 BUFHCE BUFHCE[1,4] BUFHCE_X1Y4 BUFHCE BUFHCE[1,5] BUFHCE_X1Y5 BUFHCE BUFHCE[1,6] BUFHCE_X1Y6 BUFHCE BUFHCE[1,7] BUFHCE_X1Y7 BUFHCE BUFHCE[1,8] BUFHCE_X1Y8 BUFHCE BUFHCE[1,9] BUFHCE_X1Y9 BUFHCE BUFHCE[1,10] BUFHCE_X1Y10 BUFHCE BUFHCE[1,11] BUFHCE_X1Y11 |
VBRK VBRK_X83Y30 |
CLBLL_L CLBLL_L_X16Y28 SLICEL SLICE[0,0] SLICE_X22Y28 SLICEL SLICE[1,0] SLICE_X23Y28 |
INT_L INT_L_X16Y28 TIEOFF TIEOFF[0,0] TIEOFF_X17Y28 |
INT_R INT_R_X17Y28 TIEOFF TIEOFF[0,0] TIEOFF_X18Y28 |
CLBLM_R CLBLM_R_X17Y28 SLICEM SLICE[0,0] SLICE_X24Y28 SLICEL SLICE[1,0] SLICE_X25Y28 |
VBRK VBRK_X88Y30 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y28 |
INT_L INT_L_X18Y28 TIEOFF TIEOFF[0,0] TIEOFF_X19Y28 |
INT_R INT_R_X19Y28 TIEOFF TIEOFF[0,0] TIEOFF_X20Y28 |
CLBLL_R CLBLL_R_X19Y28 SLICEL SLICE[0,0] SLICE_X26Y28 SLICEL SLICE[1,0] SLICE_X27Y28 |
CLBLM_L CLBLM_L_X20Y28 SLICEM SLICE[0,0] SLICE_X28Y28 SLICEL SLICE[1,0] SLICE_X29Y28 |
INT_L INT_L_X20Y28 TIEOFF TIEOFF[0,0] TIEOFF_X21Y28 |
INT_R INT_R_X21Y28 TIEOFF TIEOFF[0,0] TIEOFF_X22Y28 |
CLBLM_R CLBLM_R_X21Y28 SLICEM SLICE[0,0] SLICE_X30Y28 SLICEL SLICE[1,0] SLICE_X31Y28 |
VBRK VBRK_X98Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y28 |
INT_L INT_L_X22Y28 TIEOFF TIEOFF[0,0] TIEOFF_X24Y28 |
INT_R INT_R_X23Y28 TIEOFF TIEOFF[0,0] TIEOFF_X25Y28 |
CLBLM_R CLBLM_R_X23Y28 SLICEM SLICE[0,0] SLICE_X32Y28 SLICEL SLICE[1,0] SLICE_X33Y28 |
CLBLM_L CLBLM_L_X24Y28 SLICEM SLICE[0,0] SLICE_X34Y28 SLICEL SLICE[1,0] SLICE_X35Y28 |
INT_L INT_L_X24Y28 TIEOFF TIEOFF[0,0] TIEOFF_X26Y28 |
INT_R INT_R_X25Y28 TIEOFF TIEOFF[0,0] TIEOFF_X27Y28 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y28 |
VBRK VBRK_X109Y30 |
CLBLL_L CLBLL_L_X26Y28 SLICEL SLICE[0,0] SLICE_X36Y28 SLICEL SLICE[1,0] SLICE_X37Y28 |
INT_L INT_L_X26Y28 TIEOFF TIEOFF[0,0] TIEOFF_X28Y28 |
INT_R INT_R_X27Y28 TIEOFF TIEOFF[0,0] TIEOFF_X29Y28 |
CLBLM_R CLBLM_R_X27Y28 SLICEM SLICE[0,0] SLICE_X38Y28 SLICEL SLICE[1,0] SLICE_X39Y28 |
CLBLL_L CLBLL_L_X28Y28 SLICEL SLICE[0,0] SLICE_X40Y28 SLICEL SLICE[1,0] SLICE_X41Y28 |
INT_L INT_L_X28Y28 TIEOFF TIEOFF[0,0] TIEOFF_X30Y28 |
INT_R INT_R_X29Y28 TIEOFF TIEOFF[0,0] TIEOFF_X31Y28 |
CLBLM_R CLBLM_R_X29Y28 SLICEM SLICE[0,0] SLICE_X42Y28 SLICEL SLICE[1,0] SLICE_X43Y28 |
VBRK VBRK_X118Y30 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y28 |
INT_L INT_L_X30Y28 TIEOFF TIEOFF[0,0] TIEOFF_X32Y28 |
INT_R INT_R_X31Y28 TIEOFF TIEOFF[0,0] TIEOFF_X33Y28 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y28 |
R_TERM_INT R_TERM_INT_X125Y30 |
RIOI3 RIOI3_X31Y27 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y27 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y28 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y27 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y28 IDELAYE2 IDELAY[0,0] IDELAY_X0Y27 IDELAYE2 IDELAY[0,1] IDELAY_X0Y28 |
RIOB33 RIOB33_X31Y27 IOB33S IOB[0,0] IOB_X0Y27 IOB33M IOB[0,1] IOB_X0Y28 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y27 |
INT_L INT_L_X0Y27 TIEOFF TIEOFF[0,0] TIEOFF_X0Y27 |
INT_R INT_R_X1Y27 TIEOFF TIEOFF[0,0] TIEOFF_X1Y27 |
CLBLM_R CLBLM_R_X1Y27 SLICEM SLICE[0,0] SLICE_X0Y27 SLICEL SLICE[1,0] SLICE_X1Y27 |
CLBLL_L CLBLL_L_X2Y27 SLICEL SLICE[0,0] SLICE_X2Y27 SLICEL SLICE[1,0] SLICE_X3Y27 |
INT_L INT_L_X2Y27 TIEOFF TIEOFF[0,0] TIEOFF_X2Y27 |
INT_R INT_R_X3Y27 TIEOFF TIEOFF[0,0] TIEOFF_X3Y27 |
CLBLM_R CLBLM_R_X3Y27 SLICEM SLICE[0,0] SLICE_X4Y27 SLICEL SLICE[1,0] SLICE_X5Y27 |
VBRK VBRK_X39Y29 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y27 |
INT_L INT_L_X4Y27 TIEOFF TIEOFF[0,0] TIEOFF_X4Y27 |
INT_R INT_R_X5Y27 TIEOFF TIEOFF[0,0] TIEOFF_X5Y27 |
CLBLM_R CLBLM_R_X5Y27 SLICEM SLICE[0,0] SLICE_X6Y27 SLICEL SLICE[1,0] SLICE_X7Y27 |
CLBLM_L CLBLM_L_X6Y27 SLICEM SLICE[0,0] SLICE_X8Y27 SLICEL SLICE[1,0] SLICE_X9Y27 |
INT_L INT_L_X6Y27 TIEOFF TIEOFF[0,0] TIEOFF_X6Y27 |
INT_R INT_R_X7Y27 TIEOFF TIEOFF[0,0] TIEOFF_X7Y27 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y27 |
VBRK VBRK_X50Y29 |
CLBLM_L CLBLM_L_X8Y27 SLICEM SLICE[0,0] SLICE_X10Y27 SLICEL SLICE[1,0] SLICE_X11Y27 |
INT_L INT_L_X8Y27 TIEOFF TIEOFF[0,0] TIEOFF_X9Y27 |
INT_R INT_R_X9Y27 TIEOFF TIEOFF[0,0] TIEOFF_X10Y27 |
CLBLM_R CLBLM_R_X9Y27 SLICEM SLICE[0,0] SLICE_X12Y27 SLICEL SLICE[1,0] SLICE_X13Y27 |
VBRK VBRK_X55Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y29 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y29 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y29 |
VFRAME VFRAME_X68Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y27 |
INT_L INT_L_X10Y27 TIEOFF TIEOFF[0,0] TIEOFF_X11Y27 |
INT_R INT_R_X11Y27 TIEOFF TIEOFF[0,0] TIEOFF_X12Y27 |
CLBLL_R CLBLL_R_X11Y27 SLICEL SLICE[0,0] SLICE_X14Y27 SLICEL SLICE[1,0] SLICE_X15Y27 |
CLBLM_L CLBLM_L_X12Y27 SLICEM SLICE[0,0] SLICE_X16Y27 SLICEL SLICE[1,0] SLICE_X17Y27 |
INT_L INT_L_X12Y27 TIEOFF TIEOFF[0,0] TIEOFF_X13Y27 |
INT_R INT_R_X13Y27 TIEOFF TIEOFF[0,0] TIEOFF_X14Y27 |
CLBLL_R CLBLL_R_X13Y27 SLICEL SLICE[0,0] SLICE_X18Y27 SLICEL SLICE[1,0] SLICE_X19Y27 |
VBRK VBRK_X77Y29 |
CLBLM_L CLBLM_L_X14Y27 SLICEM SLICE[0,0] SLICE_X20Y27 SLICEL SLICE[1,0] SLICE_X21Y27 |
INT_L INT_L_X14Y27 TIEOFF TIEOFF[0,0] TIEOFF_X15Y27 |
INT_R INT_R_X15Y27 TIEOFF TIEOFF[0,0] TIEOFF_X16Y27 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y27 |
VBRK VBRK_X83Y29 |
CLBLL_L CLBLL_L_X16Y27 SLICEL SLICE[0,0] SLICE_X22Y27 SLICEL SLICE[1,0] SLICE_X23Y27 |
INT_L INT_L_X16Y27 TIEOFF TIEOFF[0,0] TIEOFF_X17Y27 |
INT_R INT_R_X17Y27 TIEOFF TIEOFF[0,0] TIEOFF_X18Y27 |
CLBLM_R CLBLM_R_X17Y27 SLICEM SLICE[0,0] SLICE_X24Y27 SLICEL SLICE[1,0] SLICE_X25Y27 |
VBRK VBRK_X88Y29 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y27 |
INT_L INT_L_X18Y27 TIEOFF TIEOFF[0,0] TIEOFF_X19Y27 |
INT_R INT_R_X19Y27 TIEOFF TIEOFF[0,0] TIEOFF_X20Y27 |
CLBLL_R CLBLL_R_X19Y27 SLICEL SLICE[0,0] SLICE_X26Y27 SLICEL SLICE[1,0] SLICE_X27Y27 |
CLBLM_L CLBLM_L_X20Y27 SLICEM SLICE[0,0] SLICE_X28Y27 SLICEL SLICE[1,0] SLICE_X29Y27 |
INT_L INT_L_X20Y27 TIEOFF TIEOFF[0,0] TIEOFF_X21Y27 |
INT_R INT_R_X21Y27 TIEOFF TIEOFF[0,0] TIEOFF_X22Y27 |
CLBLM_R CLBLM_R_X21Y27 SLICEM SLICE[0,0] SLICE_X30Y27 SLICEL SLICE[1,0] SLICE_X31Y27 |
VBRK VBRK_X98Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y27 |
INT_L INT_L_X22Y27 TIEOFF TIEOFF[0,0] TIEOFF_X24Y27 |
INT_R INT_R_X23Y27 TIEOFF TIEOFF[0,0] TIEOFF_X25Y27 |
CLBLM_R CLBLM_R_X23Y27 SLICEM SLICE[0,0] SLICE_X32Y27 SLICEL SLICE[1,0] SLICE_X33Y27 |
CLBLM_L CLBLM_L_X24Y27 SLICEM SLICE[0,0] SLICE_X34Y27 SLICEL SLICE[1,0] SLICE_X35Y27 |
INT_L INT_L_X24Y27 TIEOFF TIEOFF[0,0] TIEOFF_X26Y27 |
INT_R INT_R_X25Y27 TIEOFF TIEOFF[0,0] TIEOFF_X27Y27 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y27 |
VBRK VBRK_X109Y29 |
CLBLL_L CLBLL_L_X26Y27 SLICEL SLICE[0,0] SLICE_X36Y27 SLICEL SLICE[1,0] SLICE_X37Y27 |
INT_L INT_L_X26Y27 TIEOFF TIEOFF[0,0] TIEOFF_X28Y27 |
INT_R INT_R_X27Y27 TIEOFF TIEOFF[0,0] TIEOFF_X29Y27 |
CLBLM_R CLBLM_R_X27Y27 SLICEM SLICE[0,0] SLICE_X38Y27 SLICEL SLICE[1,0] SLICE_X39Y27 |
CLBLL_L CLBLL_L_X28Y27 SLICEL SLICE[0,0] SLICE_X40Y27 SLICEL SLICE[1,0] SLICE_X41Y27 |
INT_L INT_L_X28Y27 TIEOFF TIEOFF[0,0] TIEOFF_X30Y27 |
INT_R INT_R_X29Y27 TIEOFF TIEOFF[0,0] TIEOFF_X31Y27 |
CLBLM_R CLBLM_R_X29Y27 SLICEM SLICE[0,0] SLICE_X42Y27 SLICEL SLICE[1,0] SLICE_X43Y27 |
VBRK VBRK_X118Y29 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y27 |
INT_L INT_L_X30Y27 TIEOFF TIEOFF[0,0] TIEOFF_X32Y27 |
INT_R INT_R_X31Y27 TIEOFF TIEOFF[0,0] TIEOFF_X33Y27 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y27 |
R_TERM_INT R_TERM_INT_X125Y29 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y26 |
INT_L INT_L_X0Y26 TIEOFF TIEOFF[0,0] TIEOFF_X0Y26 |
INT_R INT_R_X1Y26 TIEOFF TIEOFF[0,0] TIEOFF_X1Y26 |
CLBLM_R CLBLM_R_X1Y26 SLICEM SLICE[0,0] SLICE_X0Y26 SLICEL SLICE[1,0] SLICE_X1Y26 |
CLBLL_L CLBLL_L_X2Y26 SLICEL SLICE[0,0] SLICE_X2Y26 SLICEL SLICE[1,0] SLICE_X3Y26 |
INT_L INT_L_X2Y26 TIEOFF TIEOFF[0,0] TIEOFF_X2Y26 |
INT_R INT_R_X3Y26 TIEOFF TIEOFF[0,0] TIEOFF_X3Y26 |
CLBLM_R CLBLM_R_X3Y26 SLICEM SLICE[0,0] SLICE_X4Y26 SLICEL SLICE[1,0] SLICE_X5Y26 |
VBRK VBRK_X39Y28 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y26 |
INT_L INT_L_X4Y26 TIEOFF TIEOFF[0,0] TIEOFF_X4Y26 |
INT_R INT_R_X5Y26 TIEOFF TIEOFF[0,0] TIEOFF_X5Y26 |
CLBLM_R CLBLM_R_X5Y26 SLICEM SLICE[0,0] SLICE_X6Y26 SLICEL SLICE[1,0] SLICE_X7Y26 |
CLBLM_L CLBLM_L_X6Y26 SLICEM SLICE[0,0] SLICE_X8Y26 SLICEL SLICE[1,0] SLICE_X9Y26 |
INT_L INT_L_X6Y26 TIEOFF TIEOFF[0,0] TIEOFF_X6Y26 |
INT_R INT_R_X7Y26 TIEOFF TIEOFF[0,0] TIEOFF_X7Y26 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y26 |
VBRK VBRK_X50Y28 |
CLBLM_L CLBLM_L_X8Y26 SLICEM SLICE[0,0] SLICE_X10Y26 SLICEL SLICE[1,0] SLICE_X11Y26 |
INT_L INT_L_X8Y26 TIEOFF TIEOFF[0,0] TIEOFF_X9Y26 |
INT_R INT_R_X9Y26 TIEOFF TIEOFF[0,0] TIEOFF_X10Y26 |
CLBLM_R CLBLM_R_X9Y26 SLICEM SLICE[0,0] SLICE_X12Y26 SLICEL SLICE[1,0] SLICE_X13Y26 |
VBRK VBRK_X55Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y28 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y28 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y28 |
VFRAME VFRAME_X68Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y26 |
INT_L INT_L_X10Y26 TIEOFF TIEOFF[0,0] TIEOFF_X11Y26 |
INT_R INT_R_X11Y26 TIEOFF TIEOFF[0,0] TIEOFF_X12Y26 |
CLBLL_R CLBLL_R_X11Y26 SLICEL SLICE[0,0] SLICE_X14Y26 SLICEL SLICE[1,0] SLICE_X15Y26 |
CLBLM_L CLBLM_L_X12Y26 SLICEM SLICE[0,0] SLICE_X16Y26 SLICEL SLICE[1,0] SLICE_X17Y26 |
INT_L INT_L_X12Y26 TIEOFF TIEOFF[0,0] TIEOFF_X13Y26 |
INT_R INT_R_X13Y26 TIEOFF TIEOFF[0,0] TIEOFF_X14Y26 |
CLBLL_R CLBLL_R_X13Y26 SLICEL SLICE[0,0] SLICE_X18Y26 SLICEL SLICE[1,0] SLICE_X19Y26 |
VBRK VBRK_X77Y28 |
CLBLM_L CLBLM_L_X14Y26 SLICEM SLICE[0,0] SLICE_X20Y26 SLICEL SLICE[1,0] SLICE_X21Y26 |
INT_L INT_L_X14Y26 TIEOFF TIEOFF[0,0] TIEOFF_X15Y26 |
INT_R INT_R_X15Y26 TIEOFF TIEOFF[0,0] TIEOFF_X16Y26 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y26 |
VBRK VBRK_X83Y28 |
CLBLL_L CLBLL_L_X16Y26 SLICEL SLICE[0,0] SLICE_X22Y26 SLICEL SLICE[1,0] SLICE_X23Y26 |
INT_L INT_L_X16Y26 TIEOFF TIEOFF[0,0] TIEOFF_X17Y26 |
INT_R INT_R_X17Y26 TIEOFF TIEOFF[0,0] TIEOFF_X18Y26 |
CLBLM_R CLBLM_R_X17Y26 SLICEM SLICE[0,0] SLICE_X24Y26 SLICEL SLICE[1,0] SLICE_X25Y26 |
VBRK VBRK_X88Y28 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y26 |
INT_L INT_L_X18Y26 TIEOFF TIEOFF[0,0] TIEOFF_X19Y26 |
INT_R INT_R_X19Y26 TIEOFF TIEOFF[0,0] TIEOFF_X20Y26 |
CLBLL_R CLBLL_R_X19Y26 SLICEL SLICE[0,0] SLICE_X26Y26 SLICEL SLICE[1,0] SLICE_X27Y26 |
CLBLM_L CLBLM_L_X20Y26 SLICEM SLICE[0,0] SLICE_X28Y26 SLICEL SLICE[1,0] SLICE_X29Y26 |
INT_L INT_L_X20Y26 TIEOFF TIEOFF[0,0] TIEOFF_X21Y26 |
INT_R INT_R_X21Y26 TIEOFF TIEOFF[0,0] TIEOFF_X22Y26 |
CLBLM_R CLBLM_R_X21Y26 SLICEM SLICE[0,0] SLICE_X30Y26 SLICEL SLICE[1,0] SLICE_X31Y26 |
VBRK VBRK_X98Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y26 |
INT_L INT_L_X22Y26 TIEOFF TIEOFF[0,0] TIEOFF_X24Y26 |
INT_R INT_R_X23Y26 TIEOFF TIEOFF[0,0] TIEOFF_X25Y26 |
CLBLM_R CLBLM_R_X23Y26 SLICEM SLICE[0,0] SLICE_X32Y26 SLICEL SLICE[1,0] SLICE_X33Y26 |
CLBLM_L CLBLM_L_X24Y26 SLICEM SLICE[0,0] SLICE_X34Y26 SLICEL SLICE[1,0] SLICE_X35Y26 |
INT_L INT_L_X24Y26 TIEOFF TIEOFF[0,0] TIEOFF_X26Y26 |
INT_R INT_R_X25Y26 TIEOFF TIEOFF[0,0] TIEOFF_X27Y26 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y26 |
VBRK VBRK_X109Y28 |
CLBLL_L CLBLL_L_X26Y26 SLICEL SLICE[0,0] SLICE_X36Y26 SLICEL SLICE[1,0] SLICE_X37Y26 |
INT_L INT_L_X26Y26 TIEOFF TIEOFF[0,0] TIEOFF_X28Y26 |
INT_R INT_R_X27Y26 TIEOFF TIEOFF[0,0] TIEOFF_X29Y26 |
CLBLM_R CLBLM_R_X27Y26 SLICEM SLICE[0,0] SLICE_X38Y26 SLICEL SLICE[1,0] SLICE_X39Y26 |
CLBLL_L CLBLL_L_X28Y26 SLICEL SLICE[0,0] SLICE_X40Y26 SLICEL SLICE[1,0] SLICE_X41Y26 |
INT_L INT_L_X28Y26 TIEOFF TIEOFF[0,0] TIEOFF_X30Y26 |
INT_R INT_R_X29Y26 TIEOFF TIEOFF[0,0] TIEOFF_X31Y26 |
CLBLM_R CLBLM_R_X29Y26 SLICEM SLICE[0,0] SLICE_X42Y26 SLICEL SLICE[1,0] SLICE_X43Y26 |
VBRK VBRK_X118Y28 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y26 |
INT_L INT_L_X30Y26 TIEOFF TIEOFF[0,0] TIEOFF_X32Y26 |
INT_R INT_R_X31Y26 TIEOFF TIEOFF[0,0] TIEOFF_X33Y26 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y26 |
R_TERM_INT R_TERM_INT_X125Y28 |
RIOI3 RIOI3_X31Y25 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y25 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y26 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y25 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y26 IDELAYE2 IDELAY[0,0] IDELAY_X0Y25 IDELAYE2 IDELAY[0,1] IDELAY_X0Y26 |
RIOB33 RIOB33_X31Y25 IOB33S IOB[0,0] IOB_X0Y25 IOB33M IOB[0,1] IOB_X0Y26 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y25 |
INT_L INT_L_X0Y25 TIEOFF TIEOFF[0,0] TIEOFF_X0Y25 |
INT_R INT_R_X1Y25 TIEOFF TIEOFF[0,0] TIEOFF_X1Y25 |
CLBLM_R CLBLM_R_X1Y25 SLICEM SLICE[0,0] SLICE_X0Y25 SLICEL SLICE[1,0] SLICE_X1Y25 |
CLBLL_L CLBLL_L_X2Y25 SLICEL SLICE[0,0] SLICE_X2Y25 SLICEL SLICE[1,0] SLICE_X3Y25 |
INT_L INT_L_X2Y25 TIEOFF TIEOFF[0,0] TIEOFF_X2Y25 |
INT_R INT_R_X3Y25 TIEOFF TIEOFF[0,0] TIEOFF_X3Y25 |
CLBLM_R CLBLM_R_X3Y25 SLICEM SLICE[0,0] SLICE_X4Y25 SLICEL SLICE[1,0] SLICE_X5Y25 |
VBRK VBRK_X39Y27 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y25 |
INT_L INT_L_X4Y25 TIEOFF TIEOFF[0,0] TIEOFF_X4Y25 |
INT_R INT_R_X5Y25 TIEOFF TIEOFF[0,0] TIEOFF_X5Y25 |
CLBLM_R CLBLM_R_X5Y25 SLICEM SLICE[0,0] SLICE_X6Y25 SLICEL SLICE[1,0] SLICE_X7Y25 |
CLBLM_L CLBLM_L_X6Y25 SLICEM SLICE[0,0] SLICE_X8Y25 SLICEL SLICE[1,0] SLICE_X9Y25 |
INT_L INT_L_X6Y25 TIEOFF TIEOFF[0,0] TIEOFF_X6Y25 |
INT_R INT_R_X7Y25 TIEOFF TIEOFF[0,0] TIEOFF_X7Y25 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y25 |
VBRK VBRK_X50Y27 |
CLBLM_L CLBLM_L_X8Y25 SLICEM SLICE[0,0] SLICE_X10Y25 SLICEL SLICE[1,0] SLICE_X11Y25 |
INT_L INT_L_X8Y25 TIEOFF TIEOFF[0,0] TIEOFF_X9Y25 |
INT_R INT_R_X9Y25 TIEOFF TIEOFF[0,0] TIEOFF_X10Y25 |
CLBLM_R CLBLM_R_X9Y25 SLICEM SLICE[0,0] SLICE_X12Y25 SLICEL SLICE[1,0] SLICE_X13Y25 |
VBRK VBRK_X55Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y27 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y27 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y27 |
VFRAME VFRAME_X68Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y25 |
INT_L INT_L_X10Y25 TIEOFF TIEOFF[0,0] TIEOFF_X11Y25 |
INT_R INT_R_X11Y25 TIEOFF TIEOFF[0,0] TIEOFF_X12Y25 |
CLBLL_R CLBLL_R_X11Y25 SLICEL SLICE[0,0] SLICE_X14Y25 SLICEL SLICE[1,0] SLICE_X15Y25 |
CLBLM_L CLBLM_L_X12Y25 SLICEM SLICE[0,0] SLICE_X16Y25 SLICEL SLICE[1,0] SLICE_X17Y25 |
INT_L INT_L_X12Y25 TIEOFF TIEOFF[0,0] TIEOFF_X13Y25 |
INT_R INT_R_X13Y25 TIEOFF TIEOFF[0,0] TIEOFF_X14Y25 |
CLBLL_R CLBLL_R_X13Y25 SLICEL SLICE[0,0] SLICE_X18Y25 SLICEL SLICE[1,0] SLICE_X19Y25 |
VBRK VBRK_X77Y27 |
CLBLM_L CLBLM_L_X14Y25 SLICEM SLICE[0,0] SLICE_X20Y25 SLICEL SLICE[1,0] SLICE_X21Y25 |
INT_L INT_L_X14Y25 TIEOFF TIEOFF[0,0] TIEOFF_X15Y25 |
INT_R INT_R_X15Y25 TIEOFF TIEOFF[0,0] TIEOFF_X16Y25 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y25 |
VBRK VBRK_X83Y27 |
CLBLL_L CLBLL_L_X16Y25 SLICEL SLICE[0,0] SLICE_X22Y25 SLICEL SLICE[1,0] SLICE_X23Y25 |
INT_L INT_L_X16Y25 TIEOFF TIEOFF[0,0] TIEOFF_X17Y25 |
INT_R INT_R_X17Y25 TIEOFF TIEOFF[0,0] TIEOFF_X18Y25 |
CLBLM_R CLBLM_R_X17Y25 SLICEM SLICE[0,0] SLICE_X24Y25 SLICEL SLICE[1,0] SLICE_X25Y25 |
VBRK VBRK_X88Y27 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y25 |
INT_L INT_L_X18Y25 TIEOFF TIEOFF[0,0] TIEOFF_X19Y25 |
INT_R INT_R_X19Y25 TIEOFF TIEOFF[0,0] TIEOFF_X20Y25 |
CLBLL_R CLBLL_R_X19Y25 SLICEL SLICE[0,0] SLICE_X26Y25 SLICEL SLICE[1,0] SLICE_X27Y25 |
CLBLM_L CLBLM_L_X20Y25 SLICEM SLICE[0,0] SLICE_X28Y25 SLICEL SLICE[1,0] SLICE_X29Y25 |
INT_L INT_L_X20Y25 TIEOFF TIEOFF[0,0] TIEOFF_X21Y25 |
INT_R INT_R_X21Y25 TIEOFF TIEOFF[0,0] TIEOFF_X22Y25 |
CLBLM_R CLBLM_R_X21Y25 SLICEM SLICE[0,0] SLICE_X30Y25 SLICEL SLICE[1,0] SLICE_X31Y25 |
VBRK VBRK_X98Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y25 |
INT_L INT_L_X22Y25 TIEOFF TIEOFF[0,0] TIEOFF_X24Y25 |
INT_R INT_R_X23Y25 TIEOFF TIEOFF[0,0] TIEOFF_X25Y25 |
CLBLM_R CLBLM_R_X23Y25 SLICEM SLICE[0,0] SLICE_X32Y25 SLICEL SLICE[1,0] SLICE_X33Y25 |
CLBLM_L CLBLM_L_X24Y25 SLICEM SLICE[0,0] SLICE_X34Y25 SLICEL SLICE[1,0] SLICE_X35Y25 |
INT_L INT_L_X24Y25 TIEOFF TIEOFF[0,0] TIEOFF_X26Y25 |
INT_R INT_R_X25Y25 TIEOFF TIEOFF[0,0] TIEOFF_X27Y25 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y25 |
VBRK VBRK_X109Y27 |
CLBLL_L CLBLL_L_X26Y25 SLICEL SLICE[0,0] SLICE_X36Y25 SLICEL SLICE[1,0] SLICE_X37Y25 |
INT_L INT_L_X26Y25 TIEOFF TIEOFF[0,0] TIEOFF_X28Y25 |
INT_R INT_R_X27Y25 TIEOFF TIEOFF[0,0] TIEOFF_X29Y25 |
CLBLM_R CLBLM_R_X27Y25 SLICEM SLICE[0,0] SLICE_X38Y25 SLICEL SLICE[1,0] SLICE_X39Y25 |
CLBLL_L CLBLL_L_X28Y25 SLICEL SLICE[0,0] SLICE_X40Y25 SLICEL SLICE[1,0] SLICE_X41Y25 |
INT_L INT_L_X28Y25 TIEOFF TIEOFF[0,0] TIEOFF_X30Y25 |
INT_R INT_R_X29Y25 TIEOFF TIEOFF[0,0] TIEOFF_X31Y25 |
CLBLM_R CLBLM_R_X29Y25 SLICEM SLICE[0,0] SLICE_X42Y25 SLICEL SLICE[1,0] SLICE_X43Y25 |
VBRK VBRK_X118Y27 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y25 |
INT_L INT_L_X30Y25 TIEOFF TIEOFF[0,0] TIEOFF_X32Y25 |
INT_R INT_R_X31Y25 TIEOFF TIEOFF[0,0] TIEOFF_X33Y25 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y25 |
R_TERM_INT R_TERM_INT_X125Y27 |
|||||||||||||||||||||||||||||||||||||||||||
HCLK_CLB HCLK_CLB_X31Y26 |
HCLK_L HCLK_L_X32Y26 |
HCLK_R HCLK_R_X33Y26 |
HCLK_CLB HCLK_CLB_X34Y26 |
HCLK_CLB HCLK_CLB_X35Y26 |
HCLK_L HCLK_L_X36Y26 |
HCLK_R HCLK_R_X37Y26 |
HCLK_CLB HCLK_CLB_X38Y26 |
HCLK_VBRK HCLK_VBRK_X39Y26 |
HCLK_BRAM HCLK_BRAM_X40Y26 PMVBRAM PMVBRAM[0,0] PMVBRAM_X0Y0 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X41Y26 |
HCLK_L HCLK_L_X42Y26 |
HCLK_R HCLK_R_X43Y26 |
HCLK_CLB HCLK_CLB_X44Y26 |
HCLK_CLB HCLK_CLB_X45Y26 |
HCLK_L HCLK_L_X46Y26 |
HCLK_R HCLK_R_X47Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X48Y26 |
HCLK_DSP_R HCLK_DSP_R_X49Y26 |
HCLK_VBRK HCLK_VBRK_X50Y26 |
HCLK_CLB HCLK_CLB_X51Y26 |
HCLK_L HCLK_L_X52Y26 |
HCLK_R HCLK_R_X53Y26 |
HCLK_CLB HCLK_CLB_X54Y26 |
HCLK_VBRK HCLK_VBRK_X55Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X56Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X57Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X58Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X59Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X60Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X61Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X62Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X63Y26 |
HCLK_FEEDTHRU_1 HCLK_FEEDTHRU_1_X64Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X65Y26 |
HCLK_FEEDTHRU_2 HCLK_FEEDTHRU_2_X66Y26 |
HCLK_VFRAME HCLK_VFRAME_X68Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X69Y26 |
HCLK_L HCLK_L_X70Y26 |
HCLK_R HCLK_R_X71Y26 |
HCLK_CLB HCLK_CLB_X72Y26 |
HCLK_CLB HCLK_CLB_X73Y26 |
HCLK_L HCLK_L_X74Y26 |
HCLK_R HCLK_R_X75Y26 |
HCLK_CLB HCLK_CLB_X76Y26 |
HCLK_VBRK HCLK_VBRK_X77Y26 |
HCLK_CLB HCLK_CLB_X78Y26 |
HCLK_L HCLK_L_X79Y26 |
HCLK_R HCLK_R_X80Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X81Y26 |
HCLK_VBRK HCLK_VBRK_X83Y26 |
HCLK_CLB HCLK_CLB_X84Y26 |
HCLK_L HCLK_L_X85Y26 |
HCLK_R HCLK_R_X86Y26 |
HCLK_CLB HCLK_CLB_X87Y26 |
HCLK_VBRK HCLK_VBRK_X88Y26 |
HCLK_BRAM HCLK_BRAM_X89Y26 PMVBRAM PMVBRAM[0,0] PMVBRAM_X1Y0 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X90Y26 |
HCLK_L HCLK_L_X91Y26 |
HCLK_R HCLK_R_X92Y26 |
HCLK_CLB HCLK_CLB_X93Y26 |
HCLK_CLB HCLK_CLB_X94Y26 |
HCLK_L HCLK_L_X95Y26 |
HCLK_R HCLK_R_X96Y26 |
HCLK_CLB HCLK_CLB_X97Y26 |
HCLK_VBRK HCLK_VBRK_X98Y26 |
HCLK_DSP_L HCLK_DSP_L_X99Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X100Y26 |
HCLK_L HCLK_L_X101Y26 |
HCLK_R HCLK_R_X102Y26 |
HCLK_CLB HCLK_CLB_X103Y26 |
HCLK_CLB HCLK_CLB_X104Y26 |
HCLK_L HCLK_L_X105Y26 |
HCLK_R HCLK_R_X106Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X107Y26 |
HCLK_BRAM HCLK_BRAM_X108Y26 PMVBRAM PMVBRAM[0,0] PMVBRAM_X2Y0 |
HCLK_VBRK HCLK_VBRK_X109Y26 |
HCLK_CLB HCLK_CLB_X110Y26 |
HCLK_L HCLK_L_X111Y26 |
HCLK_R HCLK_R_X112Y26 |
HCLK_CLB HCLK_CLB_X113Y26 |
HCLK_CLB HCLK_CLB_X114Y26 |
HCLK_L HCLK_L_X115Y26 |
HCLK_R HCLK_R_X116Y26 |
HCLK_CLB HCLK_CLB_X117Y26 |
HCLK_VBRK HCLK_VBRK_X118Y26 |
HCLK_CMT_L HCLK_CMT_L_X119Y26 BUFMRCE BUFMRCE[0,0] BUFMRCE_X0Y0 BUFMRCE BUFMRCE[0,1] BUFMRCE_X0Y1 |
HCLK_FIFO_L HCLK_FIFO_L_X120Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X121Y26 |
HCLK_L HCLK_L_X122Y26 |
HCLK_R HCLK_R_X123Y26 |
HCLK_INT_INTERFACE HCLK_INT_INTERFACE_X124Y26 |
HCLK_TERM HCLK_TERM_X125Y26 |
HCLK_IOI3 HCLK_IOI3_X126Y26 BUFIO BUFIO[0,0] BUFIO_X0Y0 BUFIO BUFIO[0,1] BUFIO_X0Y1 BUFIO BUFIO[0,2] BUFIO_X0Y2 BUFIO BUFIO[0,3] BUFIO_X0Y3 BUFR BUFR[0,0] BUFR_X0Y0 BUFR BUFR[0,1] BUFR_X0Y1 BUFR BUFR[0,2] BUFR_X0Y2 BUFR BUFR[0,3] BUFR_X0Y3 IDELAYCTRL IDELAYCTRL[0,0] IDELAYCTRL_X0Y0 |
HCLK_IOB HCLK_IOB_X127Y26 |
||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y24 |
INT_L INT_L_X0Y24 TIEOFF TIEOFF[0,0] TIEOFF_X0Y24 |
INT_R INT_R_X1Y24 TIEOFF TIEOFF[0,0] TIEOFF_X1Y24 |
CLBLM_R CLBLM_R_X1Y24 SLICEM SLICE[0,0] SLICE_X0Y24 SLICEL SLICE[1,0] SLICE_X1Y24 |
CLBLL_L CLBLL_L_X2Y24 SLICEL SLICE[0,0] SLICE_X2Y24 SLICEL SLICE[1,0] SLICE_X3Y24 |
INT_L INT_L_X2Y24 TIEOFF TIEOFF[0,0] TIEOFF_X2Y24 |
INT_R INT_R_X3Y24 TIEOFF TIEOFF[0,0] TIEOFF_X3Y24 |
CLBLM_R CLBLM_R_X3Y24 SLICEM SLICE[0,0] SLICE_X4Y24 SLICEL SLICE[1,0] SLICE_X5Y24 |
VBRK VBRK_X39Y25 |
BRAM_L BRAM_L_X4Y20 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y4 FIFO18E1 RAMB18[0,0] RAMB18_X0Y8 RAMB18E1 RAMB18[0,1] RAMB18_X0Y9 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y24 |
INT_L INT_L_X4Y24 TIEOFF TIEOFF[0,0] TIEOFF_X4Y24 |
INT_R INT_R_X5Y24 TIEOFF TIEOFF[0,0] TIEOFF_X5Y24 |
CLBLM_R CLBLM_R_X5Y24 SLICEM SLICE[0,0] SLICE_X6Y24 SLICEL SLICE[1,0] SLICE_X7Y24 |
CLBLM_L CLBLM_L_X6Y24 SLICEM SLICE[0,0] SLICE_X8Y24 SLICEL SLICE[1,0] SLICE_X9Y24 |
INT_L INT_L_X6Y24 TIEOFF TIEOFF[0,0] TIEOFF_X6Y24 |
INT_R INT_R_X7Y24 TIEOFF TIEOFF[0,0] TIEOFF_X7Y24 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y24 |
DSP_R DSP_R_X7Y20 TIEOFF TIEOFF[0,0] TIEOFF_X8Y20 DSP48E1 DSP48[0,0] DSP48_X0Y8 DSP48E1 DSP48[0,1] DSP48_X0Y9 |
VBRK VBRK_X50Y25 |
CLBLM_L CLBLM_L_X8Y24 SLICEM SLICE[0,0] SLICE_X10Y24 SLICEL SLICE[1,0] SLICE_X11Y24 |
INT_L INT_L_X8Y24 TIEOFF TIEOFF[0,0] TIEOFF_X9Y24 |
INT_R INT_R_X9Y24 TIEOFF TIEOFF[0,0] TIEOFF_X10Y24 |
CLBLM_R CLBLM_R_X9Y24 SLICEM SLICE[0,0] SLICE_X12Y24 SLICEL SLICE[1,0] SLICE_X13Y24 |
VBRK VBRK_X55Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y25 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y25 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y25 |
VFRAME VFRAME_X68Y25 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y24 |
INT_L INT_L_X10Y24 TIEOFF TIEOFF[0,0] TIEOFF_X11Y24 |
INT_R INT_R_X11Y24 TIEOFF TIEOFF[0,0] TIEOFF_X12Y24 |
CLBLL_R CLBLL_R_X11Y24 SLICEL SLICE[0,0] SLICE_X14Y24 SLICEL SLICE[1,0] SLICE_X15Y24 |
CLBLM_L CLBLM_L_X12Y24 SLICEM SLICE[0,0] SLICE_X16Y24 SLICEL SLICE[1,0] SLICE_X17Y24 |
INT_L INT_L_X12Y24 TIEOFF TIEOFF[0,0] TIEOFF_X13Y24 |
INT_R INT_R_X13Y24 TIEOFF TIEOFF[0,0] TIEOFF_X14Y24 |
CLBLL_R CLBLL_R_X13Y24 SLICEL SLICE[0,0] SLICE_X18Y24 SLICEL SLICE[1,0] SLICE_X19Y24 |
VBRK VBRK_X77Y25 |
CLBLM_L CLBLM_L_X14Y24 SLICEM SLICE[0,0] SLICE_X20Y24 SLICEL SLICE[1,0] SLICE_X21Y24 |
INT_L INT_L_X14Y24 TIEOFF TIEOFF[0,0] TIEOFF_X15Y24 |
INT_R INT_R_X15Y24 TIEOFF TIEOFF[0,0] TIEOFF_X16Y24 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y24 |
VBRK VBRK_X83Y25 |
CLBLL_L CLBLL_L_X16Y24 SLICEL SLICE[0,0] SLICE_X22Y24 SLICEL SLICE[1,0] SLICE_X23Y24 |
INT_L INT_L_X16Y24 TIEOFF TIEOFF[0,0] TIEOFF_X17Y24 |
INT_R INT_R_X17Y24 TIEOFF TIEOFF[0,0] TIEOFF_X18Y24 |
CLBLM_R CLBLM_R_X17Y24 SLICEM SLICE[0,0] SLICE_X24Y24 SLICEL SLICE[1,0] SLICE_X25Y24 |
VBRK VBRK_X88Y25 |
BRAM_L BRAM_L_X18Y20 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y4 FIFO18E1 RAMB18[0,0] RAMB18_X1Y8 RAMB18E1 RAMB18[0,1] RAMB18_X1Y9 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y24 |
INT_L INT_L_X18Y24 TIEOFF TIEOFF[0,0] TIEOFF_X19Y24 |
INT_R INT_R_X19Y24 TIEOFF TIEOFF[0,0] TIEOFF_X20Y24 |
CLBLL_R CLBLL_R_X19Y24 SLICEL SLICE[0,0] SLICE_X26Y24 SLICEL SLICE[1,0] SLICE_X27Y24 |
CLBLM_L CLBLM_L_X20Y24 SLICEM SLICE[0,0] SLICE_X28Y24 SLICEL SLICE[1,0] SLICE_X29Y24 |
INT_L INT_L_X20Y24 TIEOFF TIEOFF[0,0] TIEOFF_X21Y24 |
INT_R INT_R_X21Y24 TIEOFF TIEOFF[0,0] TIEOFF_X22Y24 |
CLBLM_R CLBLM_R_X21Y24 SLICEM SLICE[0,0] SLICE_X30Y24 SLICEL SLICE[1,0] SLICE_X31Y24 |
VBRK VBRK_X98Y25 |
DSP_L DSP_L_X22Y20 TIEOFF TIEOFF[0,0] TIEOFF_X23Y20 DSP48E1 DSP48[0,0] DSP48_X1Y8 DSP48E1 DSP48[0,1] DSP48_X1Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y24 |
INT_L INT_L_X22Y24 TIEOFF TIEOFF[0,0] TIEOFF_X24Y24 |
INT_R INT_R_X23Y24 TIEOFF TIEOFF[0,0] TIEOFF_X25Y24 |
CLBLM_R CLBLM_R_X23Y24 SLICEM SLICE[0,0] SLICE_X32Y24 SLICEL SLICE[1,0] SLICE_X33Y24 |
CLBLM_L CLBLM_L_X24Y24 SLICEM SLICE[0,0] SLICE_X34Y24 SLICEL SLICE[1,0] SLICE_X35Y24 |
INT_L INT_L_X24Y24 TIEOFF TIEOFF[0,0] TIEOFF_X26Y24 |
INT_R INT_R_X25Y24 TIEOFF TIEOFF[0,0] TIEOFF_X27Y24 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y24 |
BRAM_R BRAM_R_X25Y20 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y4 FIFO18E1 RAMB18[0,0] RAMB18_X2Y8 RAMB18E1 RAMB18[0,1] RAMB18_X2Y9 |
VBRK VBRK_X109Y25 |
CLBLL_L CLBLL_L_X26Y24 SLICEL SLICE[0,0] SLICE_X36Y24 SLICEL SLICE[1,0] SLICE_X37Y24 |
INT_L INT_L_X26Y24 TIEOFF TIEOFF[0,0] TIEOFF_X28Y24 |
INT_R INT_R_X27Y24 TIEOFF TIEOFF[0,0] TIEOFF_X29Y24 |
CLBLM_R CLBLM_R_X27Y24 SLICEM SLICE[0,0] SLICE_X38Y24 SLICEL SLICE[1,0] SLICE_X39Y24 |
CLBLL_L CLBLL_L_X28Y24 SLICEL SLICE[0,0] SLICE_X40Y24 SLICEL SLICE[1,0] SLICE_X41Y24 |
INT_L INT_L_X28Y24 TIEOFF TIEOFF[0,0] TIEOFF_X30Y24 |
INT_R INT_R_X29Y24 TIEOFF TIEOFF[0,0] TIEOFF_X31Y24 |
CLBLM_R CLBLM_R_X29Y24 SLICEM SLICE[0,0] SLICE_X42Y24 SLICEL SLICE[1,0] SLICE_X43Y24 |
VBRK VBRK_X118Y25 |
CMT_TOP_L_LOWER_T CMT_TOP_L_LOWER_T_X119Y18 PHASER_OUT_PHY PHASER_OUT_PHY[0,0] PHASER_OUT_PHY_X0Y0 PHASER_OUT_PHY PHASER_OUT_PHY[0,1] PHASER_OUT_PHY_X0Y1 PHASER_IN_PHY PHASER_IN_PHY[0,0] PHASER_IN_PHY_X0Y0 PHASER_IN_PHY PHASER_IN_PHY[0,1] PHASER_IN_PHY_X0Y1 |
CMT_FIFO_L CMT_FIFO_L_X120Y20 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y1 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y24 |
INT_L INT_L_X30Y24 TIEOFF TIEOFF[0,0] TIEOFF_X32Y24 |
INT_R INT_R_X31Y24 TIEOFF TIEOFF[0,0] TIEOFF_X33Y24 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y24 |
R_TERM_INT R_TERM_INT_X125Y25 |
RIOI3 RIOI3_X31Y23 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y23 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y24 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y23 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y24 IDELAYE2 IDELAY[0,0] IDELAY_X0Y23 IDELAYE2 IDELAY[0,1] IDELAY_X0Y24 |
RIOB33 RIOB33_X31Y23 IOB33S IOB[0,0] IOB_X0Y23 IOB33M IOB[0,1] IOB_X0Y24 |
||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y23 |
INT_L INT_L_X0Y23 TIEOFF TIEOFF[0,0] TIEOFF_X0Y23 |
INT_R INT_R_X1Y23 TIEOFF TIEOFF[0,0] TIEOFF_X1Y23 |
CLBLM_R CLBLM_R_X1Y23 SLICEM SLICE[0,0] SLICE_X0Y23 SLICEL SLICE[1,0] SLICE_X1Y23 |
CLBLL_L CLBLL_L_X2Y23 SLICEL SLICE[0,0] SLICE_X2Y23 SLICEL SLICE[1,0] SLICE_X3Y23 |
INT_L INT_L_X2Y23 TIEOFF TIEOFF[0,0] TIEOFF_X2Y23 |
INT_R INT_R_X3Y23 TIEOFF TIEOFF[0,0] TIEOFF_X3Y23 |
CLBLM_R CLBLM_R_X3Y23 SLICEM SLICE[0,0] SLICE_X4Y23 SLICEL SLICE[1,0] SLICE_X5Y23 |
VBRK VBRK_X39Y24 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y23 |
INT_L INT_L_X4Y23 TIEOFF TIEOFF[0,0] TIEOFF_X4Y23 |
INT_R INT_R_X5Y23 TIEOFF TIEOFF[0,0] TIEOFF_X5Y23 |
CLBLM_R CLBLM_R_X5Y23 SLICEM SLICE[0,0] SLICE_X6Y23 SLICEL SLICE[1,0] SLICE_X7Y23 |
CLBLM_L CLBLM_L_X6Y23 SLICEM SLICE[0,0] SLICE_X8Y23 SLICEL SLICE[1,0] SLICE_X9Y23 |
INT_L INT_L_X6Y23 TIEOFF TIEOFF[0,0] TIEOFF_X6Y23 |
INT_R INT_R_X7Y23 TIEOFF TIEOFF[0,0] TIEOFF_X7Y23 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y23 |
VBRK VBRK_X50Y24 |
CLBLM_L CLBLM_L_X8Y23 SLICEM SLICE[0,0] SLICE_X10Y23 SLICEL SLICE[1,0] SLICE_X11Y23 |
INT_L INT_L_X8Y23 TIEOFF TIEOFF[0,0] TIEOFF_X9Y23 |
INT_R INT_R_X9Y23 TIEOFF TIEOFF[0,0] TIEOFF_X10Y23 |
CLBLM_R CLBLM_R_X9Y23 SLICEM SLICE[0,0] SLICE_X12Y23 SLICEL SLICE[1,0] SLICE_X13Y23 |
VBRK VBRK_X55Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y24 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y24 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y24 |
VFRAME VFRAME_X68Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y23 |
INT_L INT_L_X10Y23 TIEOFF TIEOFF[0,0] TIEOFF_X11Y23 |
INT_R INT_R_X11Y23 TIEOFF TIEOFF[0,0] TIEOFF_X12Y23 |
CLBLL_R CLBLL_R_X11Y23 SLICEL SLICE[0,0] SLICE_X14Y23 SLICEL SLICE[1,0] SLICE_X15Y23 |
CLBLM_L CLBLM_L_X12Y23 SLICEM SLICE[0,0] SLICE_X16Y23 SLICEL SLICE[1,0] SLICE_X17Y23 |
INT_L INT_L_X12Y23 TIEOFF TIEOFF[0,0] TIEOFF_X13Y23 |
INT_R INT_R_X13Y23 TIEOFF TIEOFF[0,0] TIEOFF_X14Y23 |
CLBLL_R CLBLL_R_X13Y23 SLICEL SLICE[0,0] SLICE_X18Y23 SLICEL SLICE[1,0] SLICE_X19Y23 |
VBRK VBRK_X77Y24 |
CLBLM_L CLBLM_L_X14Y23 SLICEM SLICE[0,0] SLICE_X20Y23 SLICEL SLICE[1,0] SLICE_X21Y23 |
INT_L INT_L_X14Y23 TIEOFF TIEOFF[0,0] TIEOFF_X15Y23 |
INT_R INT_R_X15Y23 TIEOFF TIEOFF[0,0] TIEOFF_X16Y23 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y23 |
VBRK VBRK_X83Y24 |
CLBLL_L CLBLL_L_X16Y23 SLICEL SLICE[0,0] SLICE_X22Y23 SLICEL SLICE[1,0] SLICE_X23Y23 |
INT_L INT_L_X16Y23 TIEOFF TIEOFF[0,0] TIEOFF_X17Y23 |
INT_R INT_R_X17Y23 TIEOFF TIEOFF[0,0] TIEOFF_X18Y23 |
CLBLM_R CLBLM_R_X17Y23 SLICEM SLICE[0,0] SLICE_X24Y23 SLICEL SLICE[1,0] SLICE_X25Y23 |
VBRK VBRK_X88Y24 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y23 |
INT_L INT_L_X18Y23 TIEOFF TIEOFF[0,0] TIEOFF_X19Y23 |
INT_R INT_R_X19Y23 TIEOFF TIEOFF[0,0] TIEOFF_X20Y23 |
CLBLL_R CLBLL_R_X19Y23 SLICEL SLICE[0,0] SLICE_X26Y23 SLICEL SLICE[1,0] SLICE_X27Y23 |
CLBLM_L CLBLM_L_X20Y23 SLICEM SLICE[0,0] SLICE_X28Y23 SLICEL SLICE[1,0] SLICE_X29Y23 |
INT_L INT_L_X20Y23 TIEOFF TIEOFF[0,0] TIEOFF_X21Y23 |
INT_R INT_R_X21Y23 TIEOFF TIEOFF[0,0] TIEOFF_X22Y23 |
CLBLM_R CLBLM_R_X21Y23 SLICEM SLICE[0,0] SLICE_X30Y23 SLICEL SLICE[1,0] SLICE_X31Y23 |
VBRK VBRK_X98Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y23 |
INT_L INT_L_X22Y23 TIEOFF TIEOFF[0,0] TIEOFF_X24Y23 |
INT_R INT_R_X23Y23 TIEOFF TIEOFF[0,0] TIEOFF_X25Y23 |
CLBLM_R CLBLM_R_X23Y23 SLICEM SLICE[0,0] SLICE_X32Y23 SLICEL SLICE[1,0] SLICE_X33Y23 |
CLBLM_L CLBLM_L_X24Y23 SLICEM SLICE[0,0] SLICE_X34Y23 SLICEL SLICE[1,0] SLICE_X35Y23 |
INT_L INT_L_X24Y23 TIEOFF TIEOFF[0,0] TIEOFF_X26Y23 |
INT_R INT_R_X25Y23 TIEOFF TIEOFF[0,0] TIEOFF_X27Y23 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y23 |
VBRK VBRK_X109Y24 |
CLBLL_L CLBLL_L_X26Y23 SLICEL SLICE[0,0] SLICE_X36Y23 SLICEL SLICE[1,0] SLICE_X37Y23 |
INT_L INT_L_X26Y23 TIEOFF TIEOFF[0,0] TIEOFF_X28Y23 |
INT_R INT_R_X27Y23 TIEOFF TIEOFF[0,0] TIEOFF_X29Y23 |
CLBLM_R CLBLM_R_X27Y23 SLICEM SLICE[0,0] SLICE_X38Y23 SLICEL SLICE[1,0] SLICE_X39Y23 |
CLBLL_L CLBLL_L_X28Y23 SLICEL SLICE[0,0] SLICE_X40Y23 SLICEL SLICE[1,0] SLICE_X41Y23 |
INT_L INT_L_X28Y23 TIEOFF TIEOFF[0,0] TIEOFF_X30Y23 |
INT_R INT_R_X29Y23 TIEOFF TIEOFF[0,0] TIEOFF_X31Y23 |
CLBLM_R CLBLM_R_X29Y23 SLICEM SLICE[0,0] SLICE_X42Y23 SLICEL SLICE[1,0] SLICE_X43Y23 |
VBRK VBRK_X118Y24 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y23 |
INT_L INT_L_X30Y23 TIEOFF TIEOFF[0,0] TIEOFF_X32Y23 |
INT_R INT_R_X31Y23 TIEOFF TIEOFF[0,0] TIEOFF_X33Y23 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y23 |
R_TERM_INT R_TERM_INT_X125Y24 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y22 |
INT_L INT_L_X0Y22 TIEOFF TIEOFF[0,0] TIEOFF_X0Y22 |
INT_R INT_R_X1Y22 TIEOFF TIEOFF[0,0] TIEOFF_X1Y22 |
CLBLM_R CLBLM_R_X1Y22 SLICEM SLICE[0,0] SLICE_X0Y22 SLICEL SLICE[1,0] SLICE_X1Y22 |
CLBLL_L CLBLL_L_X2Y22 SLICEL SLICE[0,0] SLICE_X2Y22 SLICEL SLICE[1,0] SLICE_X3Y22 |
INT_L INT_L_X2Y22 TIEOFF TIEOFF[0,0] TIEOFF_X2Y22 |
INT_R INT_R_X3Y22 TIEOFF TIEOFF[0,0] TIEOFF_X3Y22 |
CLBLM_R CLBLM_R_X3Y22 SLICEM SLICE[0,0] SLICE_X4Y22 SLICEL SLICE[1,0] SLICE_X5Y22 |
VBRK VBRK_X39Y23 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y22 |
INT_L INT_L_X4Y22 TIEOFF TIEOFF[0,0] TIEOFF_X4Y22 |
INT_R INT_R_X5Y22 TIEOFF TIEOFF[0,0] TIEOFF_X5Y22 |
CLBLM_R CLBLM_R_X5Y22 SLICEM SLICE[0,0] SLICE_X6Y22 SLICEL SLICE[1,0] SLICE_X7Y22 |
CLBLM_L CLBLM_L_X6Y22 SLICEM SLICE[0,0] SLICE_X8Y22 SLICEL SLICE[1,0] SLICE_X9Y22 |
INT_L INT_L_X6Y22 TIEOFF TIEOFF[0,0] TIEOFF_X6Y22 |
INT_R INT_R_X7Y22 TIEOFF TIEOFF[0,0] TIEOFF_X7Y22 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y22 |
VBRK VBRK_X50Y23 |
CLBLM_L CLBLM_L_X8Y22 SLICEM SLICE[0,0] SLICE_X10Y22 SLICEL SLICE[1,0] SLICE_X11Y22 |
INT_L INT_L_X8Y22 TIEOFF TIEOFF[0,0] TIEOFF_X9Y22 |
INT_R INT_R_X9Y22 TIEOFF TIEOFF[0,0] TIEOFF_X10Y22 |
CLBLM_R CLBLM_R_X9Y22 SLICEM SLICE[0,0] SLICE_X12Y22 SLICEL SLICE[1,0] SLICE_X13Y22 |
VBRK VBRK_X55Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y23 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y23 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y23 |
VFRAME VFRAME_X68Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y22 |
INT_L INT_L_X10Y22 TIEOFF TIEOFF[0,0] TIEOFF_X11Y22 |
INT_R INT_R_X11Y22 TIEOFF TIEOFF[0,0] TIEOFF_X12Y22 |
CLBLL_R CLBLL_R_X11Y22 SLICEL SLICE[0,0] SLICE_X14Y22 SLICEL SLICE[1,0] SLICE_X15Y22 |
CLBLM_L CLBLM_L_X12Y22 SLICEM SLICE[0,0] SLICE_X16Y22 SLICEL SLICE[1,0] SLICE_X17Y22 |
INT_L INT_L_X12Y22 TIEOFF TIEOFF[0,0] TIEOFF_X13Y22 |
INT_R INT_R_X13Y22 TIEOFF TIEOFF[0,0] TIEOFF_X14Y22 |
CLBLL_R CLBLL_R_X13Y22 SLICEL SLICE[0,0] SLICE_X18Y22 SLICEL SLICE[1,0] SLICE_X19Y22 |
VBRK VBRK_X77Y23 |
CLBLM_L CLBLM_L_X14Y22 SLICEM SLICE[0,0] SLICE_X20Y22 SLICEL SLICE[1,0] SLICE_X21Y22 |
INT_L INT_L_X14Y22 TIEOFF TIEOFF[0,0] TIEOFF_X15Y22 |
INT_R INT_R_X15Y22 TIEOFF TIEOFF[0,0] TIEOFF_X16Y22 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y22 |
VBRK VBRK_X83Y23 |
CLBLL_L CLBLL_L_X16Y22 SLICEL SLICE[0,0] SLICE_X22Y22 SLICEL SLICE[1,0] SLICE_X23Y22 |
INT_L INT_L_X16Y22 TIEOFF TIEOFF[0,0] TIEOFF_X17Y22 |
INT_R INT_R_X17Y22 TIEOFF TIEOFF[0,0] TIEOFF_X18Y22 |
CLBLM_R CLBLM_R_X17Y22 SLICEM SLICE[0,0] SLICE_X24Y22 SLICEL SLICE[1,0] SLICE_X25Y22 |
VBRK VBRK_X88Y23 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y22 |
INT_L INT_L_X18Y22 TIEOFF TIEOFF[0,0] TIEOFF_X19Y22 |
INT_R INT_R_X19Y22 TIEOFF TIEOFF[0,0] TIEOFF_X20Y22 |
CLBLL_R CLBLL_R_X19Y22 SLICEL SLICE[0,0] SLICE_X26Y22 SLICEL SLICE[1,0] SLICE_X27Y22 |
CLBLM_L CLBLM_L_X20Y22 SLICEM SLICE[0,0] SLICE_X28Y22 SLICEL SLICE[1,0] SLICE_X29Y22 |
INT_L INT_L_X20Y22 TIEOFF TIEOFF[0,0] TIEOFF_X21Y22 |
INT_R INT_R_X21Y22 TIEOFF TIEOFF[0,0] TIEOFF_X22Y22 |
CLBLM_R CLBLM_R_X21Y22 SLICEM SLICE[0,0] SLICE_X30Y22 SLICEL SLICE[1,0] SLICE_X31Y22 |
VBRK VBRK_X98Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y22 |
INT_L INT_L_X22Y22 TIEOFF TIEOFF[0,0] TIEOFF_X24Y22 |
INT_R INT_R_X23Y22 TIEOFF TIEOFF[0,0] TIEOFF_X25Y22 |
CLBLM_R CLBLM_R_X23Y22 SLICEM SLICE[0,0] SLICE_X32Y22 SLICEL SLICE[1,0] SLICE_X33Y22 |
CLBLM_L CLBLM_L_X24Y22 SLICEM SLICE[0,0] SLICE_X34Y22 SLICEL SLICE[1,0] SLICE_X35Y22 |
INT_L INT_L_X24Y22 TIEOFF TIEOFF[0,0] TIEOFF_X26Y22 |
INT_R INT_R_X25Y22 TIEOFF TIEOFF[0,0] TIEOFF_X27Y22 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y22 |
VBRK VBRK_X109Y23 |
CLBLL_L CLBLL_L_X26Y22 SLICEL SLICE[0,0] SLICE_X36Y22 SLICEL SLICE[1,0] SLICE_X37Y22 |
INT_L INT_L_X26Y22 TIEOFF TIEOFF[0,0] TIEOFF_X28Y22 |
INT_R INT_R_X27Y22 TIEOFF TIEOFF[0,0] TIEOFF_X29Y22 |
CLBLM_R CLBLM_R_X27Y22 SLICEM SLICE[0,0] SLICE_X38Y22 SLICEL SLICE[1,0] SLICE_X39Y22 |
CLBLL_L CLBLL_L_X28Y22 SLICEL SLICE[0,0] SLICE_X40Y22 SLICEL SLICE[1,0] SLICE_X41Y22 |
INT_L INT_L_X28Y22 TIEOFF TIEOFF[0,0] TIEOFF_X30Y22 |
INT_R INT_R_X29Y22 TIEOFF TIEOFF[0,0] TIEOFF_X31Y22 |
CLBLM_R CLBLM_R_X29Y22 SLICEM SLICE[0,0] SLICE_X42Y22 SLICEL SLICE[1,0] SLICE_X43Y22 |
VBRK VBRK_X118Y23 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y22 |
INT_L INT_L_X30Y22 TIEOFF TIEOFF[0,0] TIEOFF_X32Y22 |
INT_R INT_R_X31Y22 TIEOFF TIEOFF[0,0] TIEOFF_X33Y22 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y22 |
R_TERM_INT R_TERM_INT_X125Y23 |
RIOI3 RIOI3_X31Y21 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y21 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y22 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y21 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y22 IDELAYE2 IDELAY[0,0] IDELAY_X0Y21 IDELAYE2 IDELAY[0,1] IDELAY_X0Y22 |
RIOB33 RIOB33_X31Y21 IOB33S IOB[0,0] IOB_X0Y21 IOB33M IOB[0,1] IOB_X0Y22 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y21 |
INT_L INT_L_X0Y21 TIEOFF TIEOFF[0,0] TIEOFF_X0Y21 |
INT_R INT_R_X1Y21 TIEOFF TIEOFF[0,0] TIEOFF_X1Y21 |
CLBLM_R CLBLM_R_X1Y21 SLICEM SLICE[0,0] SLICE_X0Y21 SLICEL SLICE[1,0] SLICE_X1Y21 |
CLBLL_L CLBLL_L_X2Y21 SLICEL SLICE[0,0] SLICE_X2Y21 SLICEL SLICE[1,0] SLICE_X3Y21 |
INT_L INT_L_X2Y21 TIEOFF TIEOFF[0,0] TIEOFF_X2Y21 |
INT_R INT_R_X3Y21 TIEOFF TIEOFF[0,0] TIEOFF_X3Y21 |
CLBLM_R CLBLM_R_X3Y21 SLICEM SLICE[0,0] SLICE_X4Y21 SLICEL SLICE[1,0] SLICE_X5Y21 |
VBRK VBRK_X39Y22 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y21 |
INT_L INT_L_X4Y21 TIEOFF TIEOFF[0,0] TIEOFF_X4Y21 |
INT_R INT_R_X5Y21 TIEOFF TIEOFF[0,0] TIEOFF_X5Y21 |
CLBLM_R CLBLM_R_X5Y21 SLICEM SLICE[0,0] SLICE_X6Y21 SLICEL SLICE[1,0] SLICE_X7Y21 |
CLBLM_L CLBLM_L_X6Y21 SLICEM SLICE[0,0] SLICE_X8Y21 SLICEL SLICE[1,0] SLICE_X9Y21 |
INT_L INT_L_X6Y21 TIEOFF TIEOFF[0,0] TIEOFF_X6Y21 |
INT_R INT_R_X7Y21 TIEOFF TIEOFF[0,0] TIEOFF_X7Y21 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y21 |
VBRK VBRK_X50Y22 |
CLBLM_L CLBLM_L_X8Y21 SLICEM SLICE[0,0] SLICE_X10Y21 SLICEL SLICE[1,0] SLICE_X11Y21 |
INT_L INT_L_X8Y21 TIEOFF TIEOFF[0,0] TIEOFF_X9Y21 |
INT_R INT_R_X9Y21 TIEOFF TIEOFF[0,0] TIEOFF_X10Y21 |
CLBLM_R CLBLM_R_X9Y21 SLICEM SLICE[0,0] SLICE_X12Y21 SLICEL SLICE[1,0] SLICE_X13Y21 |
VBRK VBRK_X55Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y22 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y22 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y22 |
VFRAME VFRAME_X68Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y21 |
INT_L INT_L_X10Y21 TIEOFF TIEOFF[0,0] TIEOFF_X11Y21 |
INT_R INT_R_X11Y21 TIEOFF TIEOFF[0,0] TIEOFF_X12Y21 |
CLBLL_R CLBLL_R_X11Y21 SLICEL SLICE[0,0] SLICE_X14Y21 SLICEL SLICE[1,0] SLICE_X15Y21 |
CLBLM_L CLBLM_L_X12Y21 SLICEM SLICE[0,0] SLICE_X16Y21 SLICEL SLICE[1,0] SLICE_X17Y21 |
INT_L INT_L_X12Y21 TIEOFF TIEOFF[0,0] TIEOFF_X13Y21 |
INT_R INT_R_X13Y21 TIEOFF TIEOFF[0,0] TIEOFF_X14Y21 |
CLBLL_R CLBLL_R_X13Y21 SLICEL SLICE[0,0] SLICE_X18Y21 SLICEL SLICE[1,0] SLICE_X19Y21 |
VBRK VBRK_X77Y22 |
CLBLM_L CLBLM_L_X14Y21 SLICEM SLICE[0,0] SLICE_X20Y21 SLICEL SLICE[1,0] SLICE_X21Y21 |
INT_L INT_L_X14Y21 TIEOFF TIEOFF[0,0] TIEOFF_X15Y21 |
INT_R INT_R_X15Y21 TIEOFF TIEOFF[0,0] TIEOFF_X16Y21 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y21 |
VBRK VBRK_X83Y22 |
CLBLL_L CLBLL_L_X16Y21 SLICEL SLICE[0,0] SLICE_X22Y21 SLICEL SLICE[1,0] SLICE_X23Y21 |
INT_L INT_L_X16Y21 TIEOFF TIEOFF[0,0] TIEOFF_X17Y21 |
INT_R INT_R_X17Y21 TIEOFF TIEOFF[0,0] TIEOFF_X18Y21 |
CLBLM_R CLBLM_R_X17Y21 SLICEM SLICE[0,0] SLICE_X24Y21 SLICEL SLICE[1,0] SLICE_X25Y21 |
VBRK VBRK_X88Y22 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y21 |
INT_L INT_L_X18Y21 TIEOFF TIEOFF[0,0] TIEOFF_X19Y21 |
INT_R INT_R_X19Y21 TIEOFF TIEOFF[0,0] TIEOFF_X20Y21 |
CLBLL_R CLBLL_R_X19Y21 SLICEL SLICE[0,0] SLICE_X26Y21 SLICEL SLICE[1,0] SLICE_X27Y21 |
CLBLM_L CLBLM_L_X20Y21 SLICEM SLICE[0,0] SLICE_X28Y21 SLICEL SLICE[1,0] SLICE_X29Y21 |
INT_L INT_L_X20Y21 TIEOFF TIEOFF[0,0] TIEOFF_X21Y21 |
INT_R INT_R_X21Y21 TIEOFF TIEOFF[0,0] TIEOFF_X22Y21 |
CLBLM_R CLBLM_R_X21Y21 SLICEM SLICE[0,0] SLICE_X30Y21 SLICEL SLICE[1,0] SLICE_X31Y21 |
VBRK VBRK_X98Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y21 |
INT_L INT_L_X22Y21 TIEOFF TIEOFF[0,0] TIEOFF_X24Y21 |
INT_R INT_R_X23Y21 TIEOFF TIEOFF[0,0] TIEOFF_X25Y21 |
CLBLM_R CLBLM_R_X23Y21 SLICEM SLICE[0,0] SLICE_X32Y21 SLICEL SLICE[1,0] SLICE_X33Y21 |
CLBLM_L CLBLM_L_X24Y21 SLICEM SLICE[0,0] SLICE_X34Y21 SLICEL SLICE[1,0] SLICE_X35Y21 |
INT_L INT_L_X24Y21 TIEOFF TIEOFF[0,0] TIEOFF_X26Y21 |
INT_R INT_R_X25Y21 TIEOFF TIEOFF[0,0] TIEOFF_X27Y21 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y21 |
VBRK VBRK_X109Y22 |
CLBLL_L CLBLL_L_X26Y21 SLICEL SLICE[0,0] SLICE_X36Y21 SLICEL SLICE[1,0] SLICE_X37Y21 |
INT_L INT_L_X26Y21 TIEOFF TIEOFF[0,0] TIEOFF_X28Y21 |
INT_R INT_R_X27Y21 TIEOFF TIEOFF[0,0] TIEOFF_X29Y21 |
CLBLM_R CLBLM_R_X27Y21 SLICEM SLICE[0,0] SLICE_X38Y21 SLICEL SLICE[1,0] SLICE_X39Y21 |
CLBLL_L CLBLL_L_X28Y21 SLICEL SLICE[0,0] SLICE_X40Y21 SLICEL SLICE[1,0] SLICE_X41Y21 |
INT_L INT_L_X28Y21 TIEOFF TIEOFF[0,0] TIEOFF_X30Y21 |
INT_R INT_R_X29Y21 TIEOFF TIEOFF[0,0] TIEOFF_X31Y21 |
CLBLM_R CLBLM_R_X29Y21 SLICEM SLICE[0,0] SLICE_X42Y21 SLICEL SLICE[1,0] SLICE_X43Y21 |
VBRK VBRK_X118Y22 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y21 |
INT_L INT_L_X30Y21 TIEOFF TIEOFF[0,0] TIEOFF_X32Y21 |
INT_R INT_R_X31Y21 TIEOFF TIEOFF[0,0] TIEOFF_X33Y21 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y21 |
R_TERM_INT R_TERM_INT_X125Y22 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y20 |
INT_L INT_L_X0Y20 TIEOFF TIEOFF[0,0] TIEOFF_X0Y20 |
INT_R INT_R_X1Y20 TIEOFF TIEOFF[0,0] TIEOFF_X1Y20 |
CLBLM_R CLBLM_R_X1Y20 SLICEM SLICE[0,0] SLICE_X0Y20 SLICEL SLICE[1,0] SLICE_X1Y20 |
CLBLL_L CLBLL_L_X2Y20 SLICEL SLICE[0,0] SLICE_X2Y20 SLICEL SLICE[1,0] SLICE_X3Y20 |
INT_L INT_L_X2Y20 TIEOFF TIEOFF[0,0] TIEOFF_X2Y20 |
INT_R INT_R_X3Y20 TIEOFF TIEOFF[0,0] TIEOFF_X3Y20 |
CLBLM_R CLBLM_R_X3Y20 SLICEM SLICE[0,0] SLICE_X4Y20 SLICEL SLICE[1,0] SLICE_X5Y20 |
VBRK VBRK_X39Y21 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y20 |
INT_L INT_L_X4Y20 TIEOFF TIEOFF[0,0] TIEOFF_X4Y20 |
INT_R INT_R_X5Y20 TIEOFF TIEOFF[0,0] TIEOFF_X5Y20 |
CLBLM_R CLBLM_R_X5Y20 SLICEM SLICE[0,0] SLICE_X6Y20 SLICEL SLICE[1,0] SLICE_X7Y20 |
CLBLM_L CLBLM_L_X6Y20 SLICEM SLICE[0,0] SLICE_X8Y20 SLICEL SLICE[1,0] SLICE_X9Y20 |
INT_L INT_L_X6Y20 TIEOFF TIEOFF[0,0] TIEOFF_X6Y20 |
INT_R INT_R_X7Y20 TIEOFF TIEOFF[0,0] TIEOFF_X7Y20 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y20 |
VBRK VBRK_X50Y21 |
CLBLM_L CLBLM_L_X8Y20 SLICEM SLICE[0,0] SLICE_X10Y20 SLICEL SLICE[1,0] SLICE_X11Y20 |
INT_L INT_L_X8Y20 TIEOFF TIEOFF[0,0] TIEOFF_X9Y20 |
INT_R INT_R_X9Y20 TIEOFF TIEOFF[0,0] TIEOFF_X10Y20 |
CLBLM_R CLBLM_R_X9Y20 SLICEM SLICE[0,0] SLICE_X12Y20 SLICEL SLICE[1,0] SLICE_X13Y20 |
VBRK VBRK_X55Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y21 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y21 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y21 |
VFRAME VFRAME_X68Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y20 |
INT_L INT_L_X10Y20 TIEOFF TIEOFF[0,0] TIEOFF_X11Y20 |
INT_R INT_R_X11Y20 TIEOFF TIEOFF[0,0] TIEOFF_X12Y20 |
CLBLL_R CLBLL_R_X11Y20 SLICEL SLICE[0,0] SLICE_X14Y20 SLICEL SLICE[1,0] SLICE_X15Y20 |
CLBLM_L CLBLM_L_X12Y20 SLICEM SLICE[0,0] SLICE_X16Y20 SLICEL SLICE[1,0] SLICE_X17Y20 |
INT_L INT_L_X12Y20 TIEOFF TIEOFF[0,0] TIEOFF_X13Y20 |
INT_R INT_R_X13Y20 TIEOFF TIEOFF[0,0] TIEOFF_X14Y20 |
CLBLL_R CLBLL_R_X13Y20 SLICEL SLICE[0,0] SLICE_X18Y20 SLICEL SLICE[1,0] SLICE_X19Y20 |
VBRK VBRK_X77Y21 |
CLBLM_L CLBLM_L_X14Y20 SLICEM SLICE[0,0] SLICE_X20Y20 SLICEL SLICE[1,0] SLICE_X21Y20 |
INT_L INT_L_X14Y20 TIEOFF TIEOFF[0,0] TIEOFF_X15Y20 |
INT_R INT_R_X15Y20 TIEOFF TIEOFF[0,0] TIEOFF_X16Y20 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y20 |
CLK_FEED CLK_FEED_X82Y21 |
VBRK VBRK_X83Y21 |
CLBLL_L CLBLL_L_X16Y20 SLICEL SLICE[0,0] SLICE_X22Y20 SLICEL SLICE[1,0] SLICE_X23Y20 |
INT_L INT_L_X16Y20 TIEOFF TIEOFF[0,0] TIEOFF_X17Y20 |
INT_R INT_R_X17Y20 TIEOFF TIEOFF[0,0] TIEOFF_X18Y20 |
CLBLM_R CLBLM_R_X17Y20 SLICEM SLICE[0,0] SLICE_X24Y20 SLICEL SLICE[1,0] SLICE_X25Y20 |
VBRK VBRK_X88Y21 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y20 |
INT_L INT_L_X18Y20 TIEOFF TIEOFF[0,0] TIEOFF_X19Y20 |
INT_R INT_R_X19Y20 TIEOFF TIEOFF[0,0] TIEOFF_X20Y20 |
CLBLL_R CLBLL_R_X19Y20 SLICEL SLICE[0,0] SLICE_X26Y20 SLICEL SLICE[1,0] SLICE_X27Y20 |
CLBLM_L CLBLM_L_X20Y20 SLICEM SLICE[0,0] SLICE_X28Y20 SLICEL SLICE[1,0] SLICE_X29Y20 |
INT_L INT_L_X20Y20 TIEOFF TIEOFF[0,0] TIEOFF_X21Y20 |
INT_R INT_R_X21Y20 TIEOFF TIEOFF[0,0] TIEOFF_X22Y20 |
CLBLM_R CLBLM_R_X21Y20 SLICEM SLICE[0,0] SLICE_X30Y20 SLICEL SLICE[1,0] SLICE_X31Y20 |
VBRK VBRK_X98Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y20 |
INT_L INT_L_X22Y20 TIEOFF TIEOFF[0,0] TIEOFF_X24Y20 |
INT_R INT_R_X23Y20 TIEOFF TIEOFF[0,0] TIEOFF_X25Y20 |
CLBLM_R CLBLM_R_X23Y20 SLICEM SLICE[0,0] SLICE_X32Y20 SLICEL SLICE[1,0] SLICE_X33Y20 |
CLBLM_L CLBLM_L_X24Y20 SLICEM SLICE[0,0] SLICE_X34Y20 SLICEL SLICE[1,0] SLICE_X35Y20 |
INT_L INT_L_X24Y20 TIEOFF TIEOFF[0,0] TIEOFF_X26Y20 |
INT_R INT_R_X25Y20 TIEOFF TIEOFF[0,0] TIEOFF_X27Y20 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y20 |
VBRK VBRK_X109Y21 |
CLBLL_L CLBLL_L_X26Y20 SLICEL SLICE[0,0] SLICE_X36Y20 SLICEL SLICE[1,0] SLICE_X37Y20 |
INT_L INT_L_X26Y20 TIEOFF TIEOFF[0,0] TIEOFF_X28Y20 |
INT_R INT_R_X27Y20 TIEOFF TIEOFF[0,0] TIEOFF_X29Y20 |
CLBLM_R CLBLM_R_X27Y20 SLICEM SLICE[0,0] SLICE_X38Y20 SLICEL SLICE[1,0] SLICE_X39Y20 |
CLBLL_L CLBLL_L_X28Y20 SLICEL SLICE[0,0] SLICE_X40Y20 SLICEL SLICE[1,0] SLICE_X41Y20 |
INT_L INT_L_X28Y20 TIEOFF TIEOFF[0,0] TIEOFF_X30Y20 |
INT_R INT_R_X29Y20 TIEOFF TIEOFF[0,0] TIEOFF_X31Y20 |
CLBLM_R CLBLM_R_X29Y20 SLICEM SLICE[0,0] SLICE_X42Y20 SLICEL SLICE[1,0] SLICE_X43Y20 |
VBRK VBRK_X118Y21 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y20 |
INT_L INT_L_X30Y20 TIEOFF TIEOFF[0,0] TIEOFF_X32Y20 |
INT_R INT_R_X31Y20 TIEOFF TIEOFF[0,0] TIEOFF_X33Y20 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y20 |
R_TERM_INT R_TERM_INT_X125Y21 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y19 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y19 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y20 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y19 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y20 IDELAYE2 IDELAY[0,0] IDELAY_X0Y19 IDELAYE2 IDELAY[0,1] IDELAY_X0Y20 |
RIOB33 RIOB33_X31Y19 IOB33S IOB[0,0] IOB_X0Y19 IOB33M IOB[0,1] IOB_X0Y20 |
||||||||||||||||||||||||||||||||||||||||
PSS0 PSS0_X13Y11 |
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y19 |
INT_L INT_L_X0Y19 TIEOFF TIEOFF[0,0] TIEOFF_X0Y19 |
INT_R INT_R_X1Y19 TIEOFF TIEOFF[0,0] TIEOFF_X1Y19 |
CLBLM_R CLBLM_R_X1Y19 SLICEM SLICE[0,0] SLICE_X0Y19 SLICEL SLICE[1,0] SLICE_X1Y19 |
CLBLL_L CLBLL_L_X2Y19 SLICEL SLICE[0,0] SLICE_X2Y19 SLICEL SLICE[1,0] SLICE_X3Y19 |
INT_L INT_L_X2Y19 TIEOFF TIEOFF[0,0] TIEOFF_X2Y19 |
INT_R INT_R_X3Y19 TIEOFF TIEOFF[0,0] TIEOFF_X3Y19 |
CLBLM_R CLBLM_R_X3Y19 SLICEM SLICE[0,0] SLICE_X4Y19 SLICEL SLICE[1,0] SLICE_X5Y19 |
VBRK VBRK_X39Y20 |
BRAM_L BRAM_L_X4Y15 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y3 FIFO18E1 RAMB18[0,0] RAMB18_X0Y6 RAMB18E1 RAMB18[0,1] RAMB18_X0Y7 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y19 |
INT_L INT_L_X4Y19 TIEOFF TIEOFF[0,0] TIEOFF_X4Y19 |
INT_R INT_R_X5Y19 TIEOFF TIEOFF[0,0] TIEOFF_X5Y19 |
CLBLM_R CLBLM_R_X5Y19 SLICEM SLICE[0,0] SLICE_X6Y19 SLICEL SLICE[1,0] SLICE_X7Y19 |
CLBLM_L CLBLM_L_X6Y19 SLICEM SLICE[0,0] SLICE_X8Y19 SLICEL SLICE[1,0] SLICE_X9Y19 |
INT_L INT_L_X6Y19 TIEOFF TIEOFF[0,0] TIEOFF_X6Y19 |
INT_R INT_R_X7Y19 TIEOFF TIEOFF[0,0] TIEOFF_X7Y19 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y19 |
DSP_R DSP_R_X7Y15 TIEOFF TIEOFF[0,0] TIEOFF_X8Y15 DSP48E1 DSP48[0,0] DSP48_X0Y6 DSP48E1 DSP48[0,1] DSP48_X0Y7 |
VBRK VBRK_X50Y20 |
CLBLM_L CLBLM_L_X8Y19 SLICEM SLICE[0,0] SLICE_X10Y19 SLICEL SLICE[1,0] SLICE_X11Y19 |
INT_L INT_L_X8Y19 TIEOFF TIEOFF[0,0] TIEOFF_X9Y19 |
INT_R INT_R_X9Y19 TIEOFF TIEOFF[0,0] TIEOFF_X10Y19 |
CLBLM_R CLBLM_R_X9Y19 SLICEM SLICE[0,0] SLICE_X12Y19 SLICEL SLICE[1,0] SLICE_X13Y19 |
VBRK VBRK_X55Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y20 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y20 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y20 |
CFG_CENTER_BOT CFG_CENTER_BOT_X67Y11 |
VFRAME VFRAME_X68Y20 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y19 |
INT_L INT_L_X10Y19 TIEOFF TIEOFF[0,0] TIEOFF_X11Y19 |
INT_R INT_R_X11Y19 TIEOFF TIEOFF[0,0] TIEOFF_X12Y19 |
CLBLL_R CLBLL_R_X11Y19 SLICEL SLICE[0,0] SLICE_X14Y19 SLICEL SLICE[1,0] SLICE_X15Y19 |
CLBLM_L CLBLM_L_X12Y19 SLICEM SLICE[0,0] SLICE_X16Y19 SLICEL SLICE[1,0] SLICE_X17Y19 |
INT_L INT_L_X12Y19 TIEOFF TIEOFF[0,0] TIEOFF_X13Y19 |
INT_R INT_R_X13Y19 TIEOFF TIEOFF[0,0] TIEOFF_X14Y19 |
CLBLL_R CLBLL_R_X13Y19 SLICEL SLICE[0,0] SLICE_X18Y19 SLICEL SLICE[1,0] SLICE_X19Y19 |
VBRK VBRK_X77Y20 |
CLBLM_L CLBLM_L_X14Y19 SLICEM SLICE[0,0] SLICE_X20Y19 SLICEL SLICE[1,0] SLICE_X21Y19 |
INT_L INT_L_X14Y19 TIEOFF TIEOFF[0,0] TIEOFF_X15Y19 |
INT_R INT_R_X15Y19 TIEOFF TIEOFF[0,0] TIEOFF_X16Y19 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y19 |
CLK_FEED CLK_FEED_X82Y20 |
VBRK VBRK_X83Y20 |
CLBLL_L CLBLL_L_X16Y19 SLICEL SLICE[0,0] SLICE_X22Y19 SLICEL SLICE[1,0] SLICE_X23Y19 |
INT_L INT_L_X16Y19 TIEOFF TIEOFF[0,0] TIEOFF_X17Y19 |
INT_R INT_R_X17Y19 TIEOFF TIEOFF[0,0] TIEOFF_X18Y19 |
CLBLM_R CLBLM_R_X17Y19 SLICEM SLICE[0,0] SLICE_X24Y19 SLICEL SLICE[1,0] SLICE_X25Y19 |
VBRK VBRK_X88Y20 |
BRAM_L BRAM_L_X18Y15 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y3 FIFO18E1 RAMB18[0,0] RAMB18_X1Y6 RAMB18E1 RAMB18[0,1] RAMB18_X1Y7 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y19 |
INT_L INT_L_X18Y19 TIEOFF TIEOFF[0,0] TIEOFF_X19Y19 |
INT_R INT_R_X19Y19 TIEOFF TIEOFF[0,0] TIEOFF_X20Y19 |
CLBLL_R CLBLL_R_X19Y19 SLICEL SLICE[0,0] SLICE_X26Y19 SLICEL SLICE[1,0] SLICE_X27Y19 |
CLBLM_L CLBLM_L_X20Y19 SLICEM SLICE[0,0] SLICE_X28Y19 SLICEL SLICE[1,0] SLICE_X29Y19 |
INT_L INT_L_X20Y19 TIEOFF TIEOFF[0,0] TIEOFF_X21Y19 |
INT_R INT_R_X21Y19 TIEOFF TIEOFF[0,0] TIEOFF_X22Y19 |
CLBLM_R CLBLM_R_X21Y19 SLICEM SLICE[0,0] SLICE_X30Y19 SLICEL SLICE[1,0] SLICE_X31Y19 |
VBRK VBRK_X98Y20 |
DSP_L DSP_L_X22Y15 TIEOFF TIEOFF[0,0] TIEOFF_X23Y15 DSP48E1 DSP48[0,0] DSP48_X1Y6 DSP48E1 DSP48[0,1] DSP48_X1Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y19 |
INT_L INT_L_X22Y19 TIEOFF TIEOFF[0,0] TIEOFF_X24Y19 |
INT_R INT_R_X23Y19 TIEOFF TIEOFF[0,0] TIEOFF_X25Y19 |
CLBLM_R CLBLM_R_X23Y19 SLICEM SLICE[0,0] SLICE_X32Y19 SLICEL SLICE[1,0] SLICE_X33Y19 |
CLBLM_L CLBLM_L_X24Y19 SLICEM SLICE[0,0] SLICE_X34Y19 SLICEL SLICE[1,0] SLICE_X35Y19 |
INT_L INT_L_X24Y19 TIEOFF TIEOFF[0,0] TIEOFF_X26Y19 |
INT_R INT_R_X25Y19 TIEOFF TIEOFF[0,0] TIEOFF_X27Y19 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y19 |
BRAM_R BRAM_R_X25Y15 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y3 FIFO18E1 RAMB18[0,0] RAMB18_X2Y6 RAMB18E1 RAMB18[0,1] RAMB18_X2Y7 |
VBRK VBRK_X109Y20 |
CLBLL_L CLBLL_L_X26Y19 SLICEL SLICE[0,0] SLICE_X36Y19 SLICEL SLICE[1,0] SLICE_X37Y19 |
INT_L INT_L_X26Y19 TIEOFF TIEOFF[0,0] TIEOFF_X28Y19 |
INT_R INT_R_X27Y19 TIEOFF TIEOFF[0,0] TIEOFF_X29Y19 |
CLBLM_R CLBLM_R_X27Y19 SLICEM SLICE[0,0] SLICE_X38Y19 SLICEL SLICE[1,0] SLICE_X39Y19 |
CLBLL_L CLBLL_L_X28Y19 SLICEL SLICE[0,0] SLICE_X40Y19 SLICEL SLICE[1,0] SLICE_X41Y19 |
INT_L INT_L_X28Y19 TIEOFF TIEOFF[0,0] TIEOFF_X30Y19 |
INT_R INT_R_X29Y19 TIEOFF TIEOFF[0,0] TIEOFF_X31Y19 |
CLBLM_R CLBLM_R_X29Y19 SLICEM SLICE[0,0] SLICE_X42Y19 SLICEL SLICE[1,0] SLICE_X43Y19 |
VBRK VBRK_X118Y20 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y19 |
INT_L INT_L_X30Y19 TIEOFF TIEOFF[0,0] TIEOFF_X32Y19 |
INT_R INT_R_X31Y19 TIEOFF TIEOFF[0,0] TIEOFF_X33Y19 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y19 |
R_TERM_INT R_TERM_INT_X125Y20 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y18 |
INT_L INT_L_X0Y18 TIEOFF TIEOFF[0,0] TIEOFF_X0Y18 |
INT_R INT_R_X1Y18 TIEOFF TIEOFF[0,0] TIEOFF_X1Y18 |
CLBLM_R CLBLM_R_X1Y18 SLICEM SLICE[0,0] SLICE_X0Y18 SLICEL SLICE[1,0] SLICE_X1Y18 |
CLBLL_L CLBLL_L_X2Y18 SLICEL SLICE[0,0] SLICE_X2Y18 SLICEL SLICE[1,0] SLICE_X3Y18 |
INT_L INT_L_X2Y18 TIEOFF TIEOFF[0,0] TIEOFF_X2Y18 |
INT_R INT_R_X3Y18 TIEOFF TIEOFF[0,0] TIEOFF_X3Y18 |
CLBLM_R CLBLM_R_X3Y18 SLICEM SLICE[0,0] SLICE_X4Y18 SLICEL SLICE[1,0] SLICE_X5Y18 |
VBRK VBRK_X39Y19 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y18 |
INT_L INT_L_X4Y18 TIEOFF TIEOFF[0,0] TIEOFF_X4Y18 |
INT_R INT_R_X5Y18 TIEOFF TIEOFF[0,0] TIEOFF_X5Y18 |
CLBLM_R CLBLM_R_X5Y18 SLICEM SLICE[0,0] SLICE_X6Y18 SLICEL SLICE[1,0] SLICE_X7Y18 |
CLBLM_L CLBLM_L_X6Y18 SLICEM SLICE[0,0] SLICE_X8Y18 SLICEL SLICE[1,0] SLICE_X9Y18 |
INT_L INT_L_X6Y18 TIEOFF TIEOFF[0,0] TIEOFF_X6Y18 |
INT_R INT_R_X7Y18 TIEOFF TIEOFF[0,0] TIEOFF_X7Y18 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y18 |
VBRK VBRK_X50Y19 |
CLBLM_L CLBLM_L_X8Y18 SLICEM SLICE[0,0] SLICE_X10Y18 SLICEL SLICE[1,0] SLICE_X11Y18 |
INT_L INT_L_X8Y18 TIEOFF TIEOFF[0,0] TIEOFF_X9Y18 |
INT_R INT_R_X9Y18 TIEOFF TIEOFF[0,0] TIEOFF_X10Y18 |
CLBLM_R CLBLM_R_X9Y18 SLICEM SLICE[0,0] SLICE_X12Y18 SLICEL SLICE[1,0] SLICE_X13Y18 |
VBRK VBRK_X55Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y19 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y19 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y19 |
VFRAME VFRAME_X68Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y18 |
INT_L INT_L_X10Y18 TIEOFF TIEOFF[0,0] TIEOFF_X11Y18 |
INT_R INT_R_X11Y18 TIEOFF TIEOFF[0,0] TIEOFF_X12Y18 |
CLBLL_R CLBLL_R_X11Y18 SLICEL SLICE[0,0] SLICE_X14Y18 SLICEL SLICE[1,0] SLICE_X15Y18 |
CLBLM_L CLBLM_L_X12Y18 SLICEM SLICE[0,0] SLICE_X16Y18 SLICEL SLICE[1,0] SLICE_X17Y18 |
INT_L INT_L_X12Y18 TIEOFF TIEOFF[0,0] TIEOFF_X13Y18 |
INT_R INT_R_X13Y18 TIEOFF TIEOFF[0,0] TIEOFF_X14Y18 |
CLBLL_R CLBLL_R_X13Y18 SLICEL SLICE[0,0] SLICE_X18Y18 SLICEL SLICE[1,0] SLICE_X19Y18 |
VBRK VBRK_X77Y19 |
CLBLM_L CLBLM_L_X14Y18 SLICEM SLICE[0,0] SLICE_X20Y18 SLICEL SLICE[1,0] SLICE_X21Y18 |
INT_L INT_L_X14Y18 TIEOFF TIEOFF[0,0] TIEOFF_X15Y18 |
INT_R INT_R_X15Y18 TIEOFF TIEOFF[0,0] TIEOFF_X16Y18 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y18 |
CLK_FEED CLK_FEED_X82Y19 |
VBRK VBRK_X83Y19 |
CLBLL_L CLBLL_L_X16Y18 SLICEL SLICE[0,0] SLICE_X22Y18 SLICEL SLICE[1,0] SLICE_X23Y18 |
INT_L INT_L_X16Y18 TIEOFF TIEOFF[0,0] TIEOFF_X17Y18 |
INT_R INT_R_X17Y18 TIEOFF TIEOFF[0,0] TIEOFF_X18Y18 |
CLBLM_R CLBLM_R_X17Y18 SLICEM SLICE[0,0] SLICE_X24Y18 SLICEL SLICE[1,0] SLICE_X25Y18 |
VBRK VBRK_X88Y19 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y18 |
INT_L INT_L_X18Y18 TIEOFF TIEOFF[0,0] TIEOFF_X19Y18 |
INT_R INT_R_X19Y18 TIEOFF TIEOFF[0,0] TIEOFF_X20Y18 |
CLBLL_R CLBLL_R_X19Y18 SLICEL SLICE[0,0] SLICE_X26Y18 SLICEL SLICE[1,0] SLICE_X27Y18 |
CLBLM_L CLBLM_L_X20Y18 SLICEM SLICE[0,0] SLICE_X28Y18 SLICEL SLICE[1,0] SLICE_X29Y18 |
INT_L INT_L_X20Y18 TIEOFF TIEOFF[0,0] TIEOFF_X21Y18 |
INT_R INT_R_X21Y18 TIEOFF TIEOFF[0,0] TIEOFF_X22Y18 |
CLBLM_R CLBLM_R_X21Y18 SLICEM SLICE[0,0] SLICE_X30Y18 SLICEL SLICE[1,0] SLICE_X31Y18 |
VBRK VBRK_X98Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y18 |
INT_L INT_L_X22Y18 TIEOFF TIEOFF[0,0] TIEOFF_X24Y18 |
INT_R INT_R_X23Y18 TIEOFF TIEOFF[0,0] TIEOFF_X25Y18 |
CLBLM_R CLBLM_R_X23Y18 SLICEM SLICE[0,0] SLICE_X32Y18 SLICEL SLICE[1,0] SLICE_X33Y18 |
CLBLM_L CLBLM_L_X24Y18 SLICEM SLICE[0,0] SLICE_X34Y18 SLICEL SLICE[1,0] SLICE_X35Y18 |
INT_L INT_L_X24Y18 TIEOFF TIEOFF[0,0] TIEOFF_X26Y18 |
INT_R INT_R_X25Y18 TIEOFF TIEOFF[0,0] TIEOFF_X27Y18 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y18 |
VBRK VBRK_X109Y19 |
CLBLL_L CLBLL_L_X26Y18 SLICEL SLICE[0,0] SLICE_X36Y18 SLICEL SLICE[1,0] SLICE_X37Y18 |
INT_L INT_L_X26Y18 TIEOFF TIEOFF[0,0] TIEOFF_X28Y18 |
INT_R INT_R_X27Y18 TIEOFF TIEOFF[0,0] TIEOFF_X29Y18 |
CLBLM_R CLBLM_R_X27Y18 SLICEM SLICE[0,0] SLICE_X38Y18 SLICEL SLICE[1,0] SLICE_X39Y18 |
CLBLL_L CLBLL_L_X28Y18 SLICEL SLICE[0,0] SLICE_X40Y18 SLICEL SLICE[1,0] SLICE_X41Y18 |
INT_L INT_L_X28Y18 TIEOFF TIEOFF[0,0] TIEOFF_X30Y18 |
INT_R INT_R_X29Y18 TIEOFF TIEOFF[0,0] TIEOFF_X31Y18 |
CLBLM_R CLBLM_R_X29Y18 SLICEM SLICE[0,0] SLICE_X42Y18 SLICEL SLICE[1,0] SLICE_X43Y18 |
VBRK VBRK_X118Y19 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y18 |
INT_L INT_L_X30Y18 TIEOFF TIEOFF[0,0] TIEOFF_X32Y18 |
INT_R INT_R_X31Y18 TIEOFF TIEOFF[0,0] TIEOFF_X33Y18 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y18 |
R_TERM_INT R_TERM_INT_X125Y19 |
RIOI3 RIOI3_X31Y17 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y17 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y18 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y17 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y18 IDELAYE2 IDELAY[0,0] IDELAY_X0Y17 IDELAYE2 IDELAY[0,1] IDELAY_X0Y18 |
RIOB33 RIOB33_X31Y17 IOB33S IOB[0,0] IOB_X0Y17 IOB33M IOB[0,1] IOB_X0Y18 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y17 |
INT_L INT_L_X0Y17 TIEOFF TIEOFF[0,0] TIEOFF_X0Y17 |
INT_R INT_R_X1Y17 TIEOFF TIEOFF[0,0] TIEOFF_X1Y17 |
CLBLM_R CLBLM_R_X1Y17 SLICEM SLICE[0,0] SLICE_X0Y17 SLICEL SLICE[1,0] SLICE_X1Y17 |
CLBLL_L CLBLL_L_X2Y17 SLICEL SLICE[0,0] SLICE_X2Y17 SLICEL SLICE[1,0] SLICE_X3Y17 |
INT_L INT_L_X2Y17 TIEOFF TIEOFF[0,0] TIEOFF_X2Y17 |
INT_R INT_R_X3Y17 TIEOFF TIEOFF[0,0] TIEOFF_X3Y17 |
CLBLM_R CLBLM_R_X3Y17 SLICEM SLICE[0,0] SLICE_X4Y17 SLICEL SLICE[1,0] SLICE_X5Y17 |
VBRK VBRK_X39Y18 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y17 |
INT_L INT_L_X4Y17 TIEOFF TIEOFF[0,0] TIEOFF_X4Y17 |
INT_R INT_R_X5Y17 TIEOFF TIEOFF[0,0] TIEOFF_X5Y17 |
CLBLM_R CLBLM_R_X5Y17 SLICEM SLICE[0,0] SLICE_X6Y17 SLICEL SLICE[1,0] SLICE_X7Y17 |
CLBLM_L CLBLM_L_X6Y17 SLICEM SLICE[0,0] SLICE_X8Y17 SLICEL SLICE[1,0] SLICE_X9Y17 |
INT_L INT_L_X6Y17 TIEOFF TIEOFF[0,0] TIEOFF_X6Y17 |
INT_R INT_R_X7Y17 TIEOFF TIEOFF[0,0] TIEOFF_X7Y17 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y17 |
VBRK VBRK_X50Y18 |
CLBLM_L CLBLM_L_X8Y17 SLICEM SLICE[0,0] SLICE_X10Y17 SLICEL SLICE[1,0] SLICE_X11Y17 |
INT_L INT_L_X8Y17 TIEOFF TIEOFF[0,0] TIEOFF_X9Y17 |
INT_R INT_R_X9Y17 TIEOFF TIEOFF[0,0] TIEOFF_X10Y17 |
CLBLM_R CLBLM_R_X9Y17 SLICEM SLICE[0,0] SLICE_X12Y17 SLICEL SLICE[1,0] SLICE_X13Y17 |
VBRK VBRK_X55Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y18 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y18 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y18 |
VFRAME VFRAME_X68Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y17 |
INT_L INT_L_X10Y17 TIEOFF TIEOFF[0,0] TIEOFF_X11Y17 |
INT_R INT_R_X11Y17 TIEOFF TIEOFF[0,0] TIEOFF_X12Y17 |
CLBLL_R CLBLL_R_X11Y17 SLICEL SLICE[0,0] SLICE_X14Y17 SLICEL SLICE[1,0] SLICE_X15Y17 |
CLBLM_L CLBLM_L_X12Y17 SLICEM SLICE[0,0] SLICE_X16Y17 SLICEL SLICE[1,0] SLICE_X17Y17 |
INT_L INT_L_X12Y17 TIEOFF TIEOFF[0,0] TIEOFF_X13Y17 |
INT_R INT_R_X13Y17 TIEOFF TIEOFF[0,0] TIEOFF_X14Y17 |
CLBLL_R CLBLL_R_X13Y17 SLICEL SLICE[0,0] SLICE_X18Y17 SLICEL SLICE[1,0] SLICE_X19Y17 |
VBRK VBRK_X77Y18 |
CLBLM_L CLBLM_L_X14Y17 SLICEM SLICE[0,0] SLICE_X20Y17 SLICEL SLICE[1,0] SLICE_X21Y17 |
INT_L INT_L_X14Y17 TIEOFF TIEOFF[0,0] TIEOFF_X15Y17 |
INT_R INT_R_X15Y17 TIEOFF TIEOFF[0,0] TIEOFF_X16Y17 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y17 |
CLK_PMVIOB CLK_PMVIOB_X82Y18 PMVIOB PMVIOB[0,0] PMVIOB_X1Y0 |
VBRK VBRK_X83Y18 |
CLBLL_L CLBLL_L_X16Y17 SLICEL SLICE[0,0] SLICE_X22Y17 SLICEL SLICE[1,0] SLICE_X23Y17 |
INT_L INT_L_X16Y17 TIEOFF TIEOFF[0,0] TIEOFF_X17Y17 |
INT_R INT_R_X17Y17 TIEOFF TIEOFF[0,0] TIEOFF_X18Y17 |
CLBLM_R CLBLM_R_X17Y17 SLICEM SLICE[0,0] SLICE_X24Y17 SLICEL SLICE[1,0] SLICE_X25Y17 |
VBRK VBRK_X88Y18 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y17 |
INT_L INT_L_X18Y17 TIEOFF TIEOFF[0,0] TIEOFF_X19Y17 |
INT_R INT_R_X19Y17 TIEOFF TIEOFF[0,0] TIEOFF_X20Y17 |
CLBLL_R CLBLL_R_X19Y17 SLICEL SLICE[0,0] SLICE_X26Y17 SLICEL SLICE[1,0] SLICE_X27Y17 |
CLBLM_L CLBLM_L_X20Y17 SLICEM SLICE[0,0] SLICE_X28Y17 SLICEL SLICE[1,0] SLICE_X29Y17 |
INT_L INT_L_X20Y17 TIEOFF TIEOFF[0,0] TIEOFF_X21Y17 |
INT_R INT_R_X21Y17 TIEOFF TIEOFF[0,0] TIEOFF_X22Y17 |
CLBLM_R CLBLM_R_X21Y17 SLICEM SLICE[0,0] SLICE_X30Y17 SLICEL SLICE[1,0] SLICE_X31Y17 |
VBRK VBRK_X98Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y17 |
INT_L INT_L_X22Y17 TIEOFF TIEOFF[0,0] TIEOFF_X24Y17 |
INT_R INT_R_X23Y17 TIEOFF TIEOFF[0,0] TIEOFF_X25Y17 |
CLBLM_R CLBLM_R_X23Y17 SLICEM SLICE[0,0] SLICE_X32Y17 SLICEL SLICE[1,0] SLICE_X33Y17 |
CLBLM_L CLBLM_L_X24Y17 SLICEM SLICE[0,0] SLICE_X34Y17 SLICEL SLICE[1,0] SLICE_X35Y17 |
INT_L INT_L_X24Y17 TIEOFF TIEOFF[0,0] TIEOFF_X26Y17 |
INT_R INT_R_X25Y17 TIEOFF TIEOFF[0,0] TIEOFF_X27Y17 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y17 |
VBRK VBRK_X109Y18 |
CLBLL_L CLBLL_L_X26Y17 SLICEL SLICE[0,0] SLICE_X36Y17 SLICEL SLICE[1,0] SLICE_X37Y17 |
INT_L INT_L_X26Y17 TIEOFF TIEOFF[0,0] TIEOFF_X28Y17 |
INT_R INT_R_X27Y17 TIEOFF TIEOFF[0,0] TIEOFF_X29Y17 |
CLBLM_R CLBLM_R_X27Y17 SLICEM SLICE[0,0] SLICE_X38Y17 SLICEL SLICE[1,0] SLICE_X39Y17 |
CLBLL_L CLBLL_L_X28Y17 SLICEL SLICE[0,0] SLICE_X40Y17 SLICEL SLICE[1,0] SLICE_X41Y17 |
INT_L INT_L_X28Y17 TIEOFF TIEOFF[0,0] TIEOFF_X30Y17 |
INT_R INT_R_X29Y17 TIEOFF TIEOFF[0,0] TIEOFF_X31Y17 |
CLBLM_R CLBLM_R_X29Y17 SLICEM SLICE[0,0] SLICE_X42Y17 SLICEL SLICE[1,0] SLICE_X43Y17 |
VBRK VBRK_X118Y18 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y17 |
INT_L INT_L_X30Y17 TIEOFF TIEOFF[0,0] TIEOFF_X32Y17 |
INT_R INT_R_X31Y17 TIEOFF TIEOFF[0,0] TIEOFF_X33Y17 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y17 |
R_TERM_INT R_TERM_INT_X125Y18 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y16 |
INT_L INT_L_X0Y16 TIEOFF TIEOFF[0,0] TIEOFF_X0Y16 |
INT_R INT_R_X1Y16 TIEOFF TIEOFF[0,0] TIEOFF_X1Y16 |
CLBLM_R CLBLM_R_X1Y16 SLICEM SLICE[0,0] SLICE_X0Y16 SLICEL SLICE[1,0] SLICE_X1Y16 |
CLBLL_L CLBLL_L_X2Y16 SLICEL SLICE[0,0] SLICE_X2Y16 SLICEL SLICE[1,0] SLICE_X3Y16 |
INT_L INT_L_X2Y16 TIEOFF TIEOFF[0,0] TIEOFF_X2Y16 |
INT_R INT_R_X3Y16 TIEOFF TIEOFF[0,0] TIEOFF_X3Y16 |
CLBLM_R CLBLM_R_X3Y16 SLICEM SLICE[0,0] SLICE_X4Y16 SLICEL SLICE[1,0] SLICE_X5Y16 |
VBRK VBRK_X39Y17 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y16 |
INT_L INT_L_X4Y16 TIEOFF TIEOFF[0,0] TIEOFF_X4Y16 |
INT_R INT_R_X5Y16 TIEOFF TIEOFF[0,0] TIEOFF_X5Y16 |
CLBLM_R CLBLM_R_X5Y16 SLICEM SLICE[0,0] SLICE_X6Y16 SLICEL SLICE[1,0] SLICE_X7Y16 |
CLBLM_L CLBLM_L_X6Y16 SLICEM SLICE[0,0] SLICE_X8Y16 SLICEL SLICE[1,0] SLICE_X9Y16 |
INT_L INT_L_X6Y16 TIEOFF TIEOFF[0,0] TIEOFF_X6Y16 |
INT_R INT_R_X7Y16 TIEOFF TIEOFF[0,0] TIEOFF_X7Y16 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y16 |
VBRK VBRK_X50Y17 |
CLBLM_L CLBLM_L_X8Y16 SLICEM SLICE[0,0] SLICE_X10Y16 SLICEL SLICE[1,0] SLICE_X11Y16 |
INT_L INT_L_X8Y16 TIEOFF TIEOFF[0,0] TIEOFF_X9Y16 |
INT_R INT_R_X9Y16 TIEOFF TIEOFF[0,0] TIEOFF_X10Y16 |
CLBLM_R CLBLM_R_X9Y16 SLICEM SLICE[0,0] SLICE_X12Y16 SLICEL SLICE[1,0] SLICE_X13Y16 |
VBRK VBRK_X55Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y17 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y17 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y17 |
VFRAME VFRAME_X68Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y16 |
INT_L INT_L_X10Y16 TIEOFF TIEOFF[0,0] TIEOFF_X11Y16 |
INT_R INT_R_X11Y16 TIEOFF TIEOFF[0,0] TIEOFF_X12Y16 |
CLBLL_R CLBLL_R_X11Y16 SLICEL SLICE[0,0] SLICE_X14Y16 SLICEL SLICE[1,0] SLICE_X15Y16 |
CLBLM_L CLBLM_L_X12Y16 SLICEM SLICE[0,0] SLICE_X16Y16 SLICEL SLICE[1,0] SLICE_X17Y16 |
INT_L INT_L_X12Y16 TIEOFF TIEOFF[0,0] TIEOFF_X13Y16 |
INT_R INT_R_X13Y16 TIEOFF TIEOFF[0,0] TIEOFF_X14Y16 |
CLBLL_R CLBLL_R_X13Y16 SLICEL SLICE[0,0] SLICE_X18Y16 SLICEL SLICE[1,0] SLICE_X19Y16 |
VBRK VBRK_X77Y17 |
CLBLM_L CLBLM_L_X14Y16 SLICEM SLICE[0,0] SLICE_X20Y16 SLICEL SLICE[1,0] SLICE_X21Y16 |
INT_L INT_L_X14Y16 TIEOFF TIEOFF[0,0] TIEOFF_X15Y16 |
INT_R INT_R_X15Y16 TIEOFF TIEOFF[0,0] TIEOFF_X16Y16 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y16 |
CLK_FEED CLK_FEED_X82Y17 |
VBRK VBRK_X83Y17 |
CLBLL_L CLBLL_L_X16Y16 SLICEL SLICE[0,0] SLICE_X22Y16 SLICEL SLICE[1,0] SLICE_X23Y16 |
INT_L INT_L_X16Y16 TIEOFF TIEOFF[0,0] TIEOFF_X17Y16 |
INT_R INT_R_X17Y16 TIEOFF TIEOFF[0,0] TIEOFF_X18Y16 |
CLBLM_R CLBLM_R_X17Y16 SLICEM SLICE[0,0] SLICE_X24Y16 SLICEL SLICE[1,0] SLICE_X25Y16 |
VBRK VBRK_X88Y17 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y16 |
INT_L INT_L_X18Y16 TIEOFF TIEOFF[0,0] TIEOFF_X19Y16 |
INT_R INT_R_X19Y16 TIEOFF TIEOFF[0,0] TIEOFF_X20Y16 |
CLBLL_R CLBLL_R_X19Y16 SLICEL SLICE[0,0] SLICE_X26Y16 SLICEL SLICE[1,0] SLICE_X27Y16 |
CLBLM_L CLBLM_L_X20Y16 SLICEM SLICE[0,0] SLICE_X28Y16 SLICEL SLICE[1,0] SLICE_X29Y16 |
INT_L INT_L_X20Y16 TIEOFF TIEOFF[0,0] TIEOFF_X21Y16 |
INT_R INT_R_X21Y16 TIEOFF TIEOFF[0,0] TIEOFF_X22Y16 |
CLBLM_R CLBLM_R_X21Y16 SLICEM SLICE[0,0] SLICE_X30Y16 SLICEL SLICE[1,0] SLICE_X31Y16 |
VBRK VBRK_X98Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y16 |
INT_L INT_L_X22Y16 TIEOFF TIEOFF[0,0] TIEOFF_X24Y16 |
INT_R INT_R_X23Y16 TIEOFF TIEOFF[0,0] TIEOFF_X25Y16 |
CLBLM_R CLBLM_R_X23Y16 SLICEM SLICE[0,0] SLICE_X32Y16 SLICEL SLICE[1,0] SLICE_X33Y16 |
CLBLM_L CLBLM_L_X24Y16 SLICEM SLICE[0,0] SLICE_X34Y16 SLICEL SLICE[1,0] SLICE_X35Y16 |
INT_L INT_L_X24Y16 TIEOFF TIEOFF[0,0] TIEOFF_X26Y16 |
INT_R INT_R_X25Y16 TIEOFF TIEOFF[0,0] TIEOFF_X27Y16 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y16 |
VBRK VBRK_X109Y17 |
CLBLL_L CLBLL_L_X26Y16 SLICEL SLICE[0,0] SLICE_X36Y16 SLICEL SLICE[1,0] SLICE_X37Y16 |
INT_L INT_L_X26Y16 TIEOFF TIEOFF[0,0] TIEOFF_X28Y16 |
INT_R INT_R_X27Y16 TIEOFF TIEOFF[0,0] TIEOFF_X29Y16 |
CLBLM_R CLBLM_R_X27Y16 SLICEM SLICE[0,0] SLICE_X38Y16 SLICEL SLICE[1,0] SLICE_X39Y16 |
CLBLL_L CLBLL_L_X28Y16 SLICEL SLICE[0,0] SLICE_X40Y16 SLICEL SLICE[1,0] SLICE_X41Y16 |
INT_L INT_L_X28Y16 TIEOFF TIEOFF[0,0] TIEOFF_X30Y16 |
INT_R INT_R_X29Y16 TIEOFF TIEOFF[0,0] TIEOFF_X31Y16 |
CLBLM_R CLBLM_R_X29Y16 SLICEM SLICE[0,0] SLICE_X42Y16 SLICEL SLICE[1,0] SLICE_X43Y16 |
VBRK VBRK_X118Y17 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y16 |
INT_L INT_L_X30Y16 TIEOFF TIEOFF[0,0] TIEOFF_X32Y16 |
INT_R INT_R_X31Y16 TIEOFF TIEOFF[0,0] TIEOFF_X33Y16 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y16 |
R_TERM_INT R_TERM_INT_X125Y17 |
RIOI3 RIOI3_X31Y15 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y15 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y16 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y15 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y16 IDELAYE2 IDELAY[0,0] IDELAY_X0Y15 IDELAYE2 IDELAY[0,1] IDELAY_X0Y16 |
RIOB33 RIOB33_X31Y15 IOB33S IOB[0,0] IOB_X0Y15 IOB33M IOB[0,1] IOB_X0Y16 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y15 |
INT_L INT_L_X0Y15 TIEOFF TIEOFF[0,0] TIEOFF_X0Y15 |
INT_R INT_R_X1Y15 TIEOFF TIEOFF[0,0] TIEOFF_X1Y15 |
CLBLM_R CLBLM_R_X1Y15 SLICEM SLICE[0,0] SLICE_X0Y15 SLICEL SLICE[1,0] SLICE_X1Y15 |
CLBLL_L CLBLL_L_X2Y15 SLICEL SLICE[0,0] SLICE_X2Y15 SLICEL SLICE[1,0] SLICE_X3Y15 |
INT_L INT_L_X2Y15 TIEOFF TIEOFF[0,0] TIEOFF_X2Y15 |
INT_R INT_R_X3Y15 TIEOFF TIEOFF[0,0] TIEOFF_X3Y15 |
CLBLM_R CLBLM_R_X3Y15 SLICEM SLICE[0,0] SLICE_X4Y15 SLICEL SLICE[1,0] SLICE_X5Y15 |
VBRK VBRK_X39Y16 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y15 |
INT_L INT_L_X4Y15 TIEOFF TIEOFF[0,0] TIEOFF_X4Y15 |
INT_R INT_R_X5Y15 TIEOFF TIEOFF[0,0] TIEOFF_X5Y15 |
CLBLM_R CLBLM_R_X5Y15 SLICEM SLICE[0,0] SLICE_X6Y15 SLICEL SLICE[1,0] SLICE_X7Y15 |
CLBLM_L CLBLM_L_X6Y15 SLICEM SLICE[0,0] SLICE_X8Y15 SLICEL SLICE[1,0] SLICE_X9Y15 |
INT_L INT_L_X6Y15 TIEOFF TIEOFF[0,0] TIEOFF_X6Y15 |
INT_R INT_R_X7Y15 TIEOFF TIEOFF[0,0] TIEOFF_X7Y15 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y15 |
VBRK VBRK_X50Y16 |
CLBLM_L CLBLM_L_X8Y15 SLICEM SLICE[0,0] SLICE_X10Y15 SLICEL SLICE[1,0] SLICE_X11Y15 |
INT_L INT_L_X8Y15 TIEOFF TIEOFF[0,0] TIEOFF_X9Y15 |
INT_R INT_R_X9Y15 TIEOFF TIEOFF[0,0] TIEOFF_X10Y15 |
CLBLM_R CLBLM_R_X9Y15 SLICEM SLICE[0,0] SLICE_X12Y15 SLICEL SLICE[1,0] SLICE_X13Y15 |
VBRK VBRK_X55Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y16 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y16 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y16 |
VFRAME VFRAME_X68Y16 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y15 |
INT_L INT_L_X10Y15 TIEOFF TIEOFF[0,0] TIEOFF_X11Y15 |
INT_R INT_R_X11Y15 TIEOFF TIEOFF[0,0] TIEOFF_X12Y15 |
CLBLL_R CLBLL_R_X11Y15 SLICEL SLICE[0,0] SLICE_X14Y15 SLICEL SLICE[1,0] SLICE_X15Y15 |
CLBLM_L CLBLM_L_X12Y15 SLICEM SLICE[0,0] SLICE_X16Y15 SLICEL SLICE[1,0] SLICE_X17Y15 |
INT_L INT_L_X12Y15 TIEOFF TIEOFF[0,0] TIEOFF_X13Y15 |
INT_R INT_R_X13Y15 TIEOFF TIEOFF[0,0] TIEOFF_X14Y15 |
CLBLL_R CLBLL_R_X13Y15 SLICEL SLICE[0,0] SLICE_X18Y15 SLICEL SLICE[1,0] SLICE_X19Y15 |
VBRK VBRK_X77Y16 |
CLBLM_L CLBLM_L_X14Y15 SLICEM SLICE[0,0] SLICE_X20Y15 SLICEL SLICE[1,0] SLICE_X21Y15 |
INT_L INT_L_X14Y15 TIEOFF TIEOFF[0,0] TIEOFF_X15Y15 |
INT_R INT_R_X15Y15 TIEOFF TIEOFF[0,0] TIEOFF_X16Y15 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y15 |
CLK_FEED CLK_FEED_X82Y16 |
VBRK VBRK_X83Y16 |
CLBLL_L CLBLL_L_X16Y15 SLICEL SLICE[0,0] SLICE_X22Y15 SLICEL SLICE[1,0] SLICE_X23Y15 |
INT_L INT_L_X16Y15 TIEOFF TIEOFF[0,0] TIEOFF_X17Y15 |
INT_R INT_R_X17Y15 TIEOFF TIEOFF[0,0] TIEOFF_X18Y15 |
CLBLM_R CLBLM_R_X17Y15 SLICEM SLICE[0,0] SLICE_X24Y15 SLICEL SLICE[1,0] SLICE_X25Y15 |
VBRK VBRK_X88Y16 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y15 |
INT_L INT_L_X18Y15 TIEOFF TIEOFF[0,0] TIEOFF_X19Y15 |
INT_R INT_R_X19Y15 TIEOFF TIEOFF[0,0] TIEOFF_X20Y15 |
CLBLL_R CLBLL_R_X19Y15 SLICEL SLICE[0,0] SLICE_X26Y15 SLICEL SLICE[1,0] SLICE_X27Y15 |
CLBLM_L CLBLM_L_X20Y15 SLICEM SLICE[0,0] SLICE_X28Y15 SLICEL SLICE[1,0] SLICE_X29Y15 |
INT_L INT_L_X20Y15 TIEOFF TIEOFF[0,0] TIEOFF_X21Y15 |
INT_R INT_R_X21Y15 TIEOFF TIEOFF[0,0] TIEOFF_X22Y15 |
CLBLM_R CLBLM_R_X21Y15 SLICEM SLICE[0,0] SLICE_X30Y15 SLICEL SLICE[1,0] SLICE_X31Y15 |
VBRK VBRK_X98Y16 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y15 |
INT_L INT_L_X22Y15 TIEOFF TIEOFF[0,0] TIEOFF_X24Y15 |
INT_R INT_R_X23Y15 TIEOFF TIEOFF[0,0] TIEOFF_X25Y15 |
CLBLM_R CLBLM_R_X23Y15 SLICEM SLICE[0,0] SLICE_X32Y15 SLICEL SLICE[1,0] SLICE_X33Y15 |
CLBLM_L CLBLM_L_X24Y15 SLICEM SLICE[0,0] SLICE_X34Y15 SLICEL SLICE[1,0] SLICE_X35Y15 |
INT_L INT_L_X24Y15 TIEOFF TIEOFF[0,0] TIEOFF_X26Y15 |
INT_R INT_R_X25Y15 TIEOFF TIEOFF[0,0] TIEOFF_X27Y15 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y15 |
VBRK VBRK_X109Y16 |
CLBLL_L CLBLL_L_X26Y15 SLICEL SLICE[0,0] SLICE_X36Y15 SLICEL SLICE[1,0] SLICE_X37Y15 |
INT_L INT_L_X26Y15 TIEOFF TIEOFF[0,0] TIEOFF_X28Y15 |
INT_R INT_R_X27Y15 TIEOFF TIEOFF[0,0] TIEOFF_X29Y15 |
CLBLM_R CLBLM_R_X27Y15 SLICEM SLICE[0,0] SLICE_X38Y15 SLICEL SLICE[1,0] SLICE_X39Y15 |
CLBLL_L CLBLL_L_X28Y15 SLICEL SLICE[0,0] SLICE_X40Y15 SLICEL SLICE[1,0] SLICE_X41Y15 |
INT_L INT_L_X28Y15 TIEOFF TIEOFF[0,0] TIEOFF_X30Y15 |
INT_R INT_R_X29Y15 TIEOFF TIEOFF[0,0] TIEOFF_X31Y15 |
CLBLM_R CLBLM_R_X29Y15 SLICEM SLICE[0,0] SLICE_X42Y15 SLICEL SLICE[1,0] SLICE_X43Y15 |
VBRK VBRK_X118Y16 |
CMT_TOP_L_LOWER_B CMT_TOP_L_LOWER_B_X119Y9 MMCME2_ADV MMCME2_ADV[0,0] MMCME2_ADV_X0Y0 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y15 |
INT_L INT_L_X30Y15 TIEOFF TIEOFF[0,0] TIEOFF_X32Y15 |
INT_R INT_R_X31Y15 TIEOFF TIEOFF[0,0] TIEOFF_X33Y15 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y15 |
R_TERM_INT R_TERM_INT_X125Y16 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y14 |
INT_L INT_L_X0Y14 TIEOFF TIEOFF[0,0] TIEOFF_X0Y14 |
INT_R INT_R_X1Y14 TIEOFF TIEOFF[0,0] TIEOFF_X1Y14 |
CLBLM_R CLBLM_R_X1Y14 SLICEM SLICE[0,0] SLICE_X0Y14 SLICEL SLICE[1,0] SLICE_X1Y14 |
CLBLL_L CLBLL_L_X2Y14 SLICEL SLICE[0,0] SLICE_X2Y14 SLICEL SLICE[1,0] SLICE_X3Y14 |
INT_L INT_L_X2Y14 TIEOFF TIEOFF[0,0] TIEOFF_X2Y14 |
INT_R INT_R_X3Y14 TIEOFF TIEOFF[0,0] TIEOFF_X3Y14 |
CLBLM_R CLBLM_R_X3Y14 SLICEM SLICE[0,0] SLICE_X4Y14 SLICEL SLICE[1,0] SLICE_X5Y14 |
VBRK VBRK_X39Y15 |
BRAM_L BRAM_L_X4Y10 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y2 FIFO18E1 RAMB18[0,0] RAMB18_X0Y4 RAMB18E1 RAMB18[0,1] RAMB18_X0Y5 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y14 |
INT_L INT_L_X4Y14 TIEOFF TIEOFF[0,0] TIEOFF_X4Y14 |
INT_R INT_R_X5Y14 TIEOFF TIEOFF[0,0] TIEOFF_X5Y14 |
CLBLM_R CLBLM_R_X5Y14 SLICEM SLICE[0,0] SLICE_X6Y14 SLICEL SLICE[1,0] SLICE_X7Y14 |
CLBLM_L CLBLM_L_X6Y14 SLICEM SLICE[0,0] SLICE_X8Y14 SLICEL SLICE[1,0] SLICE_X9Y14 |
INT_L INT_L_X6Y14 TIEOFF TIEOFF[0,0] TIEOFF_X6Y14 |
INT_R INT_R_X7Y14 TIEOFF TIEOFF[0,0] TIEOFF_X7Y14 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y14 |
DSP_R DSP_R_X7Y10 TIEOFF TIEOFF[0,0] TIEOFF_X8Y10 DSP48E1 DSP48[0,0] DSP48_X0Y4 DSP48E1 DSP48[0,1] DSP48_X0Y5 |
VBRK VBRK_X50Y15 |
CLBLM_L CLBLM_L_X8Y14 SLICEM SLICE[0,0] SLICE_X10Y14 SLICEL SLICE[1,0] SLICE_X11Y14 |
INT_L INT_L_X8Y14 TIEOFF TIEOFF[0,0] TIEOFF_X9Y14 |
INT_R INT_R_X9Y14 TIEOFF TIEOFF[0,0] TIEOFF_X10Y14 |
CLBLM_R CLBLM_R_X9Y14 SLICEM SLICE[0,0] SLICE_X12Y14 SLICEL SLICE[1,0] SLICE_X13Y14 |
VBRK VBRK_X55Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y15 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y15 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y15 |
VFRAME VFRAME_X68Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y14 |
INT_L INT_L_X10Y14 TIEOFF TIEOFF[0,0] TIEOFF_X11Y14 |
INT_R INT_R_X11Y14 TIEOFF TIEOFF[0,0] TIEOFF_X12Y14 |
CLBLL_R CLBLL_R_X11Y14 SLICEL SLICE[0,0] SLICE_X14Y14 SLICEL SLICE[1,0] SLICE_X15Y14 |
CLBLM_L CLBLM_L_X12Y14 SLICEM SLICE[0,0] SLICE_X16Y14 SLICEL SLICE[1,0] SLICE_X17Y14 |
INT_L INT_L_X12Y14 TIEOFF TIEOFF[0,0] TIEOFF_X13Y14 |
INT_R INT_R_X13Y14 TIEOFF TIEOFF[0,0] TIEOFF_X14Y14 |
CLBLL_R CLBLL_R_X13Y14 SLICEL SLICE[0,0] SLICE_X18Y14 SLICEL SLICE[1,0] SLICE_X19Y14 |
VBRK VBRK_X77Y15 |
CLBLM_L CLBLM_L_X14Y14 SLICEM SLICE[0,0] SLICE_X20Y14 SLICEL SLICE[1,0] SLICE_X21Y14 |
INT_L INT_L_X14Y14 TIEOFF TIEOFF[0,0] TIEOFF_X15Y14 |
INT_R INT_R_X15Y14 TIEOFF TIEOFF[0,0] TIEOFF_X16Y14 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y14 |
CLK_FEED CLK_FEED_X82Y15 |
VBRK VBRK_X83Y15 |
CLBLL_L CLBLL_L_X16Y14 SLICEL SLICE[0,0] SLICE_X22Y14 SLICEL SLICE[1,0] SLICE_X23Y14 |
INT_L INT_L_X16Y14 TIEOFF TIEOFF[0,0] TIEOFF_X17Y14 |
INT_R INT_R_X17Y14 TIEOFF TIEOFF[0,0] TIEOFF_X18Y14 |
CLBLM_R CLBLM_R_X17Y14 SLICEM SLICE[0,0] SLICE_X24Y14 SLICEL SLICE[1,0] SLICE_X25Y14 |
VBRK VBRK_X88Y15 |
BRAM_L BRAM_L_X18Y10 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y2 FIFO18E1 RAMB18[0,0] RAMB18_X1Y4 RAMB18E1 RAMB18[0,1] RAMB18_X1Y5 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y14 |
INT_L INT_L_X18Y14 TIEOFF TIEOFF[0,0] TIEOFF_X19Y14 |
INT_R INT_R_X19Y14 TIEOFF TIEOFF[0,0] TIEOFF_X20Y14 |
CLBLL_R CLBLL_R_X19Y14 SLICEL SLICE[0,0] SLICE_X26Y14 SLICEL SLICE[1,0] SLICE_X27Y14 |
CLBLM_L CLBLM_L_X20Y14 SLICEM SLICE[0,0] SLICE_X28Y14 SLICEL SLICE[1,0] SLICE_X29Y14 |
INT_L INT_L_X20Y14 TIEOFF TIEOFF[0,0] TIEOFF_X21Y14 |
INT_R INT_R_X21Y14 TIEOFF TIEOFF[0,0] TIEOFF_X22Y14 |
CLBLM_R CLBLM_R_X21Y14 SLICEM SLICE[0,0] SLICE_X30Y14 SLICEL SLICE[1,0] SLICE_X31Y14 |
VBRK VBRK_X98Y15 |
DSP_L DSP_L_X22Y10 TIEOFF TIEOFF[0,0] TIEOFF_X23Y10 DSP48E1 DSP48[0,0] DSP48_X1Y4 DSP48E1 DSP48[0,1] DSP48_X1Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y14 |
INT_L INT_L_X22Y14 TIEOFF TIEOFF[0,0] TIEOFF_X24Y14 |
INT_R INT_R_X23Y14 TIEOFF TIEOFF[0,0] TIEOFF_X25Y14 |
CLBLM_R CLBLM_R_X23Y14 SLICEM SLICE[0,0] SLICE_X32Y14 SLICEL SLICE[1,0] SLICE_X33Y14 |
CLBLM_L CLBLM_L_X24Y14 SLICEM SLICE[0,0] SLICE_X34Y14 SLICEL SLICE[1,0] SLICE_X35Y14 |
INT_L INT_L_X24Y14 TIEOFF TIEOFF[0,0] TIEOFF_X26Y14 |
INT_R INT_R_X25Y14 TIEOFF TIEOFF[0,0] TIEOFF_X27Y14 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y14 |
BRAM_R BRAM_R_X25Y10 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y2 FIFO18E1 RAMB18[0,0] RAMB18_X2Y4 RAMB18E1 RAMB18[0,1] RAMB18_X2Y5 |
VBRK VBRK_X109Y15 |
CLBLL_L CLBLL_L_X26Y14 SLICEL SLICE[0,0] SLICE_X36Y14 SLICEL SLICE[1,0] SLICE_X37Y14 |
INT_L INT_L_X26Y14 TIEOFF TIEOFF[0,0] TIEOFF_X28Y14 |
INT_R INT_R_X27Y14 TIEOFF TIEOFF[0,0] TIEOFF_X29Y14 |
CLBLM_R CLBLM_R_X27Y14 SLICEM SLICE[0,0] SLICE_X38Y14 SLICEL SLICE[1,0] SLICE_X39Y14 |
CLBLL_L CLBLL_L_X28Y14 SLICEL SLICE[0,0] SLICE_X40Y14 SLICEL SLICE[1,0] SLICE_X41Y14 |
INT_L INT_L_X28Y14 TIEOFF TIEOFF[0,0] TIEOFF_X30Y14 |
INT_R INT_R_X29Y14 TIEOFF TIEOFF[0,0] TIEOFF_X31Y14 |
CLBLM_R CLBLM_R_X29Y14 SLICEM SLICE[0,0] SLICE_X42Y14 SLICEL SLICE[1,0] SLICE_X43Y14 |
VBRK VBRK_X118Y15 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y14 |
INT_L INT_L_X30Y14 TIEOFF TIEOFF[0,0] TIEOFF_X32Y14 |
INT_R INT_R_X31Y14 TIEOFF TIEOFF[0,0] TIEOFF_X33Y14 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y14 |
R_TERM_INT R_TERM_INT_X125Y15 |
RIOI3_TBYTETERM RIOI3_TBYTETERM_X31Y13 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y13 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y14 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y13 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y14 IDELAYE2 IDELAY[0,0] IDELAY_X0Y13 IDELAYE2 IDELAY[0,1] IDELAY_X0Y14 |
RIOB33 RIOB33_X31Y13 IOB33S IOB[0,0] IOB_X0Y13 IOB33M IOB[0,1] IOB_X0Y14 |
|||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y13 |
INT_L INT_L_X0Y13 TIEOFF TIEOFF[0,0] TIEOFF_X0Y13 |
INT_R INT_R_X1Y13 TIEOFF TIEOFF[0,0] TIEOFF_X1Y13 |
CLBLM_R CLBLM_R_X1Y13 SLICEM SLICE[0,0] SLICE_X0Y13 SLICEL SLICE[1,0] SLICE_X1Y13 |
CLBLL_L CLBLL_L_X2Y13 SLICEL SLICE[0,0] SLICE_X2Y13 SLICEL SLICE[1,0] SLICE_X3Y13 |
INT_L INT_L_X2Y13 TIEOFF TIEOFF[0,0] TIEOFF_X2Y13 |
INT_R INT_R_X3Y13 TIEOFF TIEOFF[0,0] TIEOFF_X3Y13 |
CLBLM_R CLBLM_R_X3Y13 SLICEM SLICE[0,0] SLICE_X4Y13 SLICEL SLICE[1,0] SLICE_X5Y13 |
VBRK VBRK_X39Y14 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y13 |
INT_L INT_L_X4Y13 TIEOFF TIEOFF[0,0] TIEOFF_X4Y13 |
INT_R INT_R_X5Y13 TIEOFF TIEOFF[0,0] TIEOFF_X5Y13 |
CLBLM_R CLBLM_R_X5Y13 SLICEM SLICE[0,0] SLICE_X6Y13 SLICEL SLICE[1,0] SLICE_X7Y13 |
CLBLM_L CLBLM_L_X6Y13 SLICEM SLICE[0,0] SLICE_X8Y13 SLICEL SLICE[1,0] SLICE_X9Y13 |
INT_L INT_L_X6Y13 TIEOFF TIEOFF[0,0] TIEOFF_X6Y13 |
INT_R INT_R_X7Y13 TIEOFF TIEOFF[0,0] TIEOFF_X7Y13 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y13 |
VBRK VBRK_X50Y14 |
CLBLM_L CLBLM_L_X8Y13 SLICEM SLICE[0,0] SLICE_X10Y13 SLICEL SLICE[1,0] SLICE_X11Y13 |
INT_L INT_L_X8Y13 TIEOFF TIEOFF[0,0] TIEOFF_X9Y13 |
INT_R INT_R_X9Y13 TIEOFF TIEOFF[0,0] TIEOFF_X10Y13 |
CLBLM_R CLBLM_R_X9Y13 SLICEM SLICE[0,0] SLICE_X12Y13 SLICEL SLICE[1,0] SLICE_X13Y13 |
VBRK VBRK_X55Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y14 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y14 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y14 |
VFRAME VFRAME_X68Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y13 |
INT_L INT_L_X10Y13 TIEOFF TIEOFF[0,0] TIEOFF_X11Y13 |
INT_R INT_R_X11Y13 TIEOFF TIEOFF[0,0] TIEOFF_X12Y13 |
CLBLL_R CLBLL_R_X11Y13 SLICEL SLICE[0,0] SLICE_X14Y13 SLICEL SLICE[1,0] SLICE_X15Y13 |
CLBLM_L CLBLM_L_X12Y13 SLICEM SLICE[0,0] SLICE_X16Y13 SLICEL SLICE[1,0] SLICE_X17Y13 |
INT_L INT_L_X12Y13 TIEOFF TIEOFF[0,0] TIEOFF_X13Y13 |
INT_R INT_R_X13Y13 TIEOFF TIEOFF[0,0] TIEOFF_X14Y13 |
CLBLL_R CLBLL_R_X13Y13 SLICEL SLICE[0,0] SLICE_X18Y13 SLICEL SLICE[1,0] SLICE_X19Y13 |
VBRK VBRK_X77Y14 |
CLBLM_L CLBLM_L_X14Y13 SLICEM SLICE[0,0] SLICE_X20Y13 SLICEL SLICE[1,0] SLICE_X21Y13 |
INT_L INT_L_X14Y13 TIEOFF TIEOFF[0,0] TIEOFF_X15Y13 |
INT_R INT_R_X15Y13 TIEOFF TIEOFF[0,0] TIEOFF_X16Y13 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y13 |
CLK_BUFG_REBUF CLK_BUFG_REBUF_X82Y13 GCLK_TEST_BUF GCLK_TEST_BUF[0,0] GCLK_TEST_BUF_X0Y0 GCLK_TEST_BUF GCLK_TEST_BUF[0,1] GCLK_TEST_BUF_X0Y1 GCLK_TEST_BUF GCLK_TEST_BUF[0,2] GCLK_TEST_BUF_X0Y2 GCLK_TEST_BUF GCLK_TEST_BUF[0,3] GCLK_TEST_BUF_X0Y3 GCLK_TEST_BUF GCLK_TEST_BUF[0,4] GCLK_TEST_BUF_X0Y4 GCLK_TEST_BUF GCLK_TEST_BUF[0,5] GCLK_TEST_BUF_X0Y5 GCLK_TEST_BUF GCLK_TEST_BUF[0,6] GCLK_TEST_BUF_X0Y6 GCLK_TEST_BUF GCLK_TEST_BUF[0,7] GCLK_TEST_BUF_X0Y7 GCLK_TEST_BUF GCLK_TEST_BUF[0,8] GCLK_TEST_BUF_X0Y8 GCLK_TEST_BUF GCLK_TEST_BUF[0,9] GCLK_TEST_BUF_X0Y9 GCLK_TEST_BUF GCLK_TEST_BUF[0,10] GCLK_TEST_BUF_X0Y10 GCLK_TEST_BUF GCLK_TEST_BUF[0,11] GCLK_TEST_BUF_X0Y11 GCLK_TEST_BUF GCLK_TEST_BUF[0,12] GCLK_TEST_BUF_X0Y12 GCLK_TEST_BUF GCLK_TEST_BUF[0,13] GCLK_TEST_BUF_X0Y13 GCLK_TEST_BUF GCLK_TEST_BUF[0,14] GCLK_TEST_BUF_X0Y14 GCLK_TEST_BUF GCLK_TEST_BUF[0,15] GCLK_TEST_BUF_X0Y15 GCLK_TEST_BUF GCLK_TEST_BUF[1,0] GCLK_TEST_BUF_X1Y0 GCLK_TEST_BUF GCLK_TEST_BUF[1,1] GCLK_TEST_BUF_X1Y1 GCLK_TEST_BUF GCLK_TEST_BUF[1,2] GCLK_TEST_BUF_X1Y2 GCLK_TEST_BUF GCLK_TEST_BUF[1,3] GCLK_TEST_BUF_X1Y3 GCLK_TEST_BUF GCLK_TEST_BUF[1,4] GCLK_TEST_BUF_X1Y4 GCLK_TEST_BUF GCLK_TEST_BUF[1,5] GCLK_TEST_BUF_X1Y5 GCLK_TEST_BUF GCLK_TEST_BUF[1,6] GCLK_TEST_BUF_X1Y6 GCLK_TEST_BUF GCLK_TEST_BUF[1,7] GCLK_TEST_BUF_X1Y7 GCLK_TEST_BUF GCLK_TEST_BUF[1,8] GCLK_TEST_BUF_X1Y8 GCLK_TEST_BUF GCLK_TEST_BUF[1,9] GCLK_TEST_BUF_X1Y9 GCLK_TEST_BUF GCLK_TEST_BUF[1,10] GCLK_TEST_BUF_X1Y10 GCLK_TEST_BUF GCLK_TEST_BUF[1,11] GCLK_TEST_BUF_X1Y11 GCLK_TEST_BUF GCLK_TEST_BUF[1,12] GCLK_TEST_BUF_X1Y12 GCLK_TEST_BUF GCLK_TEST_BUF[1,13] GCLK_TEST_BUF_X1Y13 GCLK_TEST_BUF GCLK_TEST_BUF[1,14] GCLK_TEST_BUF_X1Y14 GCLK_TEST_BUF GCLK_TEST_BUF[1,15] GCLK_TEST_BUF_X1Y15 |
VBRK VBRK_X83Y14 |
CLBLL_L CLBLL_L_X16Y13 SLICEL SLICE[0,0] SLICE_X22Y13 SLICEL SLICE[1,0] SLICE_X23Y13 |
INT_L INT_L_X16Y13 TIEOFF TIEOFF[0,0] TIEOFF_X17Y13 |
INT_R INT_R_X17Y13 TIEOFF TIEOFF[0,0] TIEOFF_X18Y13 |
CLBLM_R CLBLM_R_X17Y13 SLICEM SLICE[0,0] SLICE_X24Y13 SLICEL SLICE[1,0] SLICE_X25Y13 |
VBRK VBRK_X88Y14 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y13 |
INT_L INT_L_X18Y13 TIEOFF TIEOFF[0,0] TIEOFF_X19Y13 |
INT_R INT_R_X19Y13 TIEOFF TIEOFF[0,0] TIEOFF_X20Y13 |
CLBLL_R CLBLL_R_X19Y13 SLICEL SLICE[0,0] SLICE_X26Y13 SLICEL SLICE[1,0] SLICE_X27Y13 |
CLBLM_L CLBLM_L_X20Y13 SLICEM SLICE[0,0] SLICE_X28Y13 SLICEL SLICE[1,0] SLICE_X29Y13 |
INT_L INT_L_X20Y13 TIEOFF TIEOFF[0,0] TIEOFF_X21Y13 |
INT_R INT_R_X21Y13 TIEOFF TIEOFF[0,0] TIEOFF_X22Y13 |
CLBLM_R CLBLM_R_X21Y13 SLICEM SLICE[0,0] SLICE_X30Y13 SLICEL SLICE[1,0] SLICE_X31Y13 |
VBRK VBRK_X98Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y13 |
INT_L INT_L_X22Y13 TIEOFF TIEOFF[0,0] TIEOFF_X24Y13 |
INT_R INT_R_X23Y13 TIEOFF TIEOFF[0,0] TIEOFF_X25Y13 |
CLBLM_R CLBLM_R_X23Y13 SLICEM SLICE[0,0] SLICE_X32Y13 SLICEL SLICE[1,0] SLICE_X33Y13 |
CLBLM_L CLBLM_L_X24Y13 SLICEM SLICE[0,0] SLICE_X34Y13 SLICEL SLICE[1,0] SLICE_X35Y13 |
INT_L INT_L_X24Y13 TIEOFF TIEOFF[0,0] TIEOFF_X26Y13 |
INT_R INT_R_X25Y13 TIEOFF TIEOFF[0,0] TIEOFF_X27Y13 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y13 |
VBRK VBRK_X109Y14 |
CLBLL_L CLBLL_L_X26Y13 SLICEL SLICE[0,0] SLICE_X36Y13 SLICEL SLICE[1,0] SLICE_X37Y13 |
INT_L INT_L_X26Y13 TIEOFF TIEOFF[0,0] TIEOFF_X28Y13 |
INT_R INT_R_X27Y13 TIEOFF TIEOFF[0,0] TIEOFF_X29Y13 |
CLBLM_R CLBLM_R_X27Y13 SLICEM SLICE[0,0] SLICE_X38Y13 SLICEL SLICE[1,0] SLICE_X39Y13 |
CLBLL_L CLBLL_L_X28Y13 SLICEL SLICE[0,0] SLICE_X40Y13 SLICEL SLICE[1,0] SLICE_X41Y13 |
INT_L INT_L_X28Y13 TIEOFF TIEOFF[0,0] TIEOFF_X30Y13 |
INT_R INT_R_X29Y13 TIEOFF TIEOFF[0,0] TIEOFF_X31Y13 |
CLBLM_R CLBLM_R_X29Y13 SLICEM SLICE[0,0] SLICE_X42Y13 SLICEL SLICE[1,0] SLICE_X43Y13 |
VBRK VBRK_X118Y14 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y13 |
INT_L INT_L_X30Y13 TIEOFF TIEOFF[0,0] TIEOFF_X32Y13 |
INT_R INT_R_X31Y13 TIEOFF TIEOFF[0,0] TIEOFF_X33Y13 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y13 |
R_TERM_INT R_TERM_INT_X125Y14 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y12 |
INT_L INT_L_X0Y12 TIEOFF TIEOFF[0,0] TIEOFF_X0Y12 |
INT_R INT_R_X1Y12 TIEOFF TIEOFF[0,0] TIEOFF_X1Y12 |
CLBLM_R CLBLM_R_X1Y12 SLICEM SLICE[0,0] SLICE_X0Y12 SLICEL SLICE[1,0] SLICE_X1Y12 |
CLBLL_L CLBLL_L_X2Y12 SLICEL SLICE[0,0] SLICE_X2Y12 SLICEL SLICE[1,0] SLICE_X3Y12 |
INT_L INT_L_X2Y12 TIEOFF TIEOFF[0,0] TIEOFF_X2Y12 |
INT_R INT_R_X3Y12 TIEOFF TIEOFF[0,0] TIEOFF_X3Y12 |
CLBLM_R CLBLM_R_X3Y12 SLICEM SLICE[0,0] SLICE_X4Y12 SLICEL SLICE[1,0] SLICE_X5Y12 |
VBRK VBRK_X39Y13 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y12 |
INT_L INT_L_X4Y12 TIEOFF TIEOFF[0,0] TIEOFF_X4Y12 |
INT_R INT_R_X5Y12 TIEOFF TIEOFF[0,0] TIEOFF_X5Y12 |
CLBLM_R CLBLM_R_X5Y12 SLICEM SLICE[0,0] SLICE_X6Y12 SLICEL SLICE[1,0] SLICE_X7Y12 |
CLBLM_L CLBLM_L_X6Y12 SLICEM SLICE[0,0] SLICE_X8Y12 SLICEL SLICE[1,0] SLICE_X9Y12 |
INT_L INT_L_X6Y12 TIEOFF TIEOFF[0,0] TIEOFF_X6Y12 |
INT_R INT_R_X7Y12 TIEOFF TIEOFF[0,0] TIEOFF_X7Y12 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y12 |
VBRK VBRK_X50Y13 |
CLBLM_L CLBLM_L_X8Y12 SLICEM SLICE[0,0] SLICE_X10Y12 SLICEL SLICE[1,0] SLICE_X11Y12 |
INT_L INT_L_X8Y12 TIEOFF TIEOFF[0,0] TIEOFF_X9Y12 |
INT_R INT_R_X9Y12 TIEOFF TIEOFF[0,0] TIEOFF_X10Y12 |
CLBLM_R CLBLM_R_X9Y12 SLICEM SLICE[0,0] SLICE_X12Y12 SLICEL SLICE[1,0] SLICE_X13Y12 |
VBRK VBRK_X55Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y13 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y13 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y13 |
VFRAME VFRAME_X68Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y12 |
INT_L INT_L_X10Y12 TIEOFF TIEOFF[0,0] TIEOFF_X11Y12 |
INT_R INT_R_X11Y12 TIEOFF TIEOFF[0,0] TIEOFF_X12Y12 |
CLBLL_R CLBLL_R_X11Y12 SLICEL SLICE[0,0] SLICE_X14Y12 SLICEL SLICE[1,0] SLICE_X15Y12 |
CLBLM_L CLBLM_L_X12Y12 SLICEM SLICE[0,0] SLICE_X16Y12 SLICEL SLICE[1,0] SLICE_X17Y12 |
INT_L INT_L_X12Y12 TIEOFF TIEOFF[0,0] TIEOFF_X13Y12 |
INT_R INT_R_X13Y12 TIEOFF TIEOFF[0,0] TIEOFF_X14Y12 |
CLBLL_R CLBLL_R_X13Y12 SLICEL SLICE[0,0] SLICE_X18Y12 SLICEL SLICE[1,0] SLICE_X19Y12 |
VBRK VBRK_X77Y13 |
CLBLM_L CLBLM_L_X14Y12 SLICEM SLICE[0,0] SLICE_X20Y12 SLICEL SLICE[1,0] SLICE_X21Y12 |
INT_L INT_L_X14Y12 TIEOFF TIEOFF[0,0] TIEOFF_X15Y12 |
INT_R INT_R_X15Y12 TIEOFF TIEOFF[0,0] TIEOFF_X16Y12 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y12 |
VBRK VBRK_X83Y13 |
CLBLL_L CLBLL_L_X16Y12 SLICEL SLICE[0,0] SLICE_X22Y12 SLICEL SLICE[1,0] SLICE_X23Y12 |
INT_L INT_L_X16Y12 TIEOFF TIEOFF[0,0] TIEOFF_X17Y12 |
INT_R INT_R_X17Y12 TIEOFF TIEOFF[0,0] TIEOFF_X18Y12 |
CLBLM_R CLBLM_R_X17Y12 SLICEM SLICE[0,0] SLICE_X24Y12 SLICEL SLICE[1,0] SLICE_X25Y12 |
VBRK VBRK_X88Y13 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y12 |
INT_L INT_L_X18Y12 TIEOFF TIEOFF[0,0] TIEOFF_X19Y12 |
INT_R INT_R_X19Y12 TIEOFF TIEOFF[0,0] TIEOFF_X20Y12 |
CLBLL_R CLBLL_R_X19Y12 SLICEL SLICE[0,0] SLICE_X26Y12 SLICEL SLICE[1,0] SLICE_X27Y12 |
CLBLM_L CLBLM_L_X20Y12 SLICEM SLICE[0,0] SLICE_X28Y12 SLICEL SLICE[1,0] SLICE_X29Y12 |
INT_L INT_L_X20Y12 TIEOFF TIEOFF[0,0] TIEOFF_X21Y12 |
INT_R INT_R_X21Y12 TIEOFF TIEOFF[0,0] TIEOFF_X22Y12 |
CLBLM_R CLBLM_R_X21Y12 SLICEM SLICE[0,0] SLICE_X30Y12 SLICEL SLICE[1,0] SLICE_X31Y12 |
VBRK VBRK_X98Y13 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y12 |
INT_L INT_L_X22Y12 TIEOFF TIEOFF[0,0] TIEOFF_X24Y12 |
INT_R INT_R_X23Y12 TIEOFF TIEOFF[0,0] TIEOFF_X25Y12 |
CLBLM_R CLBLM_R_X23Y12 SLICEM SLICE[0,0] SLICE_X32Y12 SLICEL SLICE[1,0] SLICE_X33Y12 |
CLBLM_L CLBLM_L_X24Y12 SLICEM SLICE[0,0] SLICE_X34Y12 SLICEL SLICE[1,0] SLICE_X35Y12 |
INT_L INT_L_X24Y12 TIEOFF TIEOFF[0,0] TIEOFF_X26Y12 |
INT_R INT_R_X25Y12 TIEOFF TIEOFF[0,0] TIEOFF_X27Y12 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y12 |
VBRK VBRK_X109Y13 |
CLBLL_L CLBLL_L_X26Y12 SLICEL SLICE[0,0] SLICE_X36Y12 SLICEL SLICE[1,0] SLICE_X37Y12 |
INT_L INT_L_X26Y12 TIEOFF TIEOFF[0,0] TIEOFF_X28Y12 |
INT_R INT_R_X27Y12 TIEOFF TIEOFF[0,0] TIEOFF_X29Y12 |
CLBLM_R CLBLM_R_X27Y12 SLICEM SLICE[0,0] SLICE_X38Y12 SLICEL SLICE[1,0] SLICE_X39Y12 |
CLBLL_L CLBLL_L_X28Y12 SLICEL SLICE[0,0] SLICE_X40Y12 SLICEL SLICE[1,0] SLICE_X41Y12 |
INT_L INT_L_X28Y12 TIEOFF TIEOFF[0,0] TIEOFF_X30Y12 |
INT_R INT_R_X29Y12 TIEOFF TIEOFF[0,0] TIEOFF_X31Y12 |
CLBLM_R CLBLM_R_X29Y12 SLICEM SLICE[0,0] SLICE_X42Y12 SLICEL SLICE[1,0] SLICE_X43Y12 |
VBRK VBRK_X118Y13 |
CMT_FIFO_L CMT_FIFO_L_X120Y8 OUT_FIFO OUT_FIFO[0,0] OUT_FIFO_X0Y0 IN_FIFO IN_FIFO[0,0] IN_FIFO_X0Y0 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y12 |
INT_L INT_L_X30Y12 TIEOFF TIEOFF[0,0] TIEOFF_X32Y12 |
INT_R INT_R_X31Y12 TIEOFF TIEOFF[0,0] TIEOFF_X33Y12 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y12 |
R_TERM_INT R_TERM_INT_X125Y13 |
RIOI3 RIOI3_X31Y11 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y11 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y12 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y11 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y12 IDELAYE2 IDELAY[0,0] IDELAY_X0Y11 IDELAYE2 IDELAY[0,1] IDELAY_X0Y12 |
RIOB33 RIOB33_X31Y11 IOB33S IOB[0,0] IOB_X0Y11 IOB33M IOB[0,1] IOB_X0Y12 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y11 |
INT_L INT_L_X0Y11 TIEOFF TIEOFF[0,0] TIEOFF_X0Y11 |
INT_R INT_R_X1Y11 TIEOFF TIEOFF[0,0] TIEOFF_X1Y11 |
CLBLM_R CLBLM_R_X1Y11 SLICEM SLICE[0,0] SLICE_X0Y11 SLICEL SLICE[1,0] SLICE_X1Y11 |
CLBLL_L CLBLL_L_X2Y11 SLICEL SLICE[0,0] SLICE_X2Y11 SLICEL SLICE[1,0] SLICE_X3Y11 |
INT_L INT_L_X2Y11 TIEOFF TIEOFF[0,0] TIEOFF_X2Y11 |
INT_R INT_R_X3Y11 TIEOFF TIEOFF[0,0] TIEOFF_X3Y11 |
CLBLM_R CLBLM_R_X3Y11 SLICEM SLICE[0,0] SLICE_X4Y11 SLICEL SLICE[1,0] SLICE_X5Y11 |
VBRK VBRK_X39Y12 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y11 |
INT_L INT_L_X4Y11 TIEOFF TIEOFF[0,0] TIEOFF_X4Y11 |
INT_R INT_R_X5Y11 TIEOFF TIEOFF[0,0] TIEOFF_X5Y11 |
CLBLM_R CLBLM_R_X5Y11 SLICEM SLICE[0,0] SLICE_X6Y11 SLICEL SLICE[1,0] SLICE_X7Y11 |
CLBLM_L CLBLM_L_X6Y11 SLICEM SLICE[0,0] SLICE_X8Y11 SLICEL SLICE[1,0] SLICE_X9Y11 |
INT_L INT_L_X6Y11 TIEOFF TIEOFF[0,0] TIEOFF_X6Y11 |
INT_R INT_R_X7Y11 TIEOFF TIEOFF[0,0] TIEOFF_X7Y11 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y11 |
VBRK VBRK_X50Y12 |
CLBLM_L CLBLM_L_X8Y11 SLICEM SLICE[0,0] SLICE_X10Y11 SLICEL SLICE[1,0] SLICE_X11Y11 |
INT_L INT_L_X8Y11 TIEOFF TIEOFF[0,0] TIEOFF_X9Y11 |
INT_R INT_R_X9Y11 TIEOFF TIEOFF[0,0] TIEOFF_X10Y11 |
CLBLM_R CLBLM_R_X9Y11 SLICEM SLICE[0,0] SLICE_X12Y11 SLICEL SLICE[1,0] SLICE_X13Y11 |
VBRK VBRK_X55Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y12 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y12 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y12 |
VFRAME VFRAME_X68Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y11 |
INT_L INT_L_X10Y11 TIEOFF TIEOFF[0,0] TIEOFF_X11Y11 |
INT_R INT_R_X11Y11 TIEOFF TIEOFF[0,0] TIEOFF_X12Y11 |
CLBLL_R CLBLL_R_X11Y11 SLICEL SLICE[0,0] SLICE_X14Y11 SLICEL SLICE[1,0] SLICE_X15Y11 |
CLBLM_L CLBLM_L_X12Y11 SLICEM SLICE[0,0] SLICE_X16Y11 SLICEL SLICE[1,0] SLICE_X17Y11 |
INT_L INT_L_X12Y11 TIEOFF TIEOFF[0,0] TIEOFF_X13Y11 |
INT_R INT_R_X13Y11 TIEOFF TIEOFF[0,0] TIEOFF_X14Y11 |
CLBLL_R CLBLL_R_X13Y11 SLICEL SLICE[0,0] SLICE_X18Y11 SLICEL SLICE[1,0] SLICE_X19Y11 |
VBRK VBRK_X77Y12 |
CLBLM_L CLBLM_L_X14Y11 SLICEM SLICE[0,0] SLICE_X20Y11 SLICEL SLICE[1,0] SLICE_X21Y11 |
INT_L INT_L_X14Y11 TIEOFF TIEOFF[0,0] TIEOFF_X15Y11 |
INT_R INT_R_X15Y11 TIEOFF TIEOFF[0,0] TIEOFF_X16Y11 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y11 |
CLK_FEED CLK_FEED_X82Y12 |
VBRK VBRK_X83Y12 |
CLBLL_L CLBLL_L_X16Y11 SLICEL SLICE[0,0] SLICE_X22Y11 SLICEL SLICE[1,0] SLICE_X23Y11 |
INT_L INT_L_X16Y11 TIEOFF TIEOFF[0,0] TIEOFF_X17Y11 |
INT_R INT_R_X17Y11 TIEOFF TIEOFF[0,0] TIEOFF_X18Y11 |
CLBLM_R CLBLM_R_X17Y11 SLICEM SLICE[0,0] SLICE_X24Y11 SLICEL SLICE[1,0] SLICE_X25Y11 |
VBRK VBRK_X88Y12 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y11 |
INT_L INT_L_X18Y11 TIEOFF TIEOFF[0,0] TIEOFF_X19Y11 |
INT_R INT_R_X19Y11 TIEOFF TIEOFF[0,0] TIEOFF_X20Y11 |
CLBLL_R CLBLL_R_X19Y11 SLICEL SLICE[0,0] SLICE_X26Y11 SLICEL SLICE[1,0] SLICE_X27Y11 |
CLBLM_L CLBLM_L_X20Y11 SLICEM SLICE[0,0] SLICE_X28Y11 SLICEL SLICE[1,0] SLICE_X29Y11 |
INT_L INT_L_X20Y11 TIEOFF TIEOFF[0,0] TIEOFF_X21Y11 |
INT_R INT_R_X21Y11 TIEOFF TIEOFF[0,0] TIEOFF_X22Y11 |
CLBLM_R CLBLM_R_X21Y11 SLICEM SLICE[0,0] SLICE_X30Y11 SLICEL SLICE[1,0] SLICE_X31Y11 |
VBRK VBRK_X98Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y11 |
INT_L INT_L_X22Y11 TIEOFF TIEOFF[0,0] TIEOFF_X24Y11 |
INT_R INT_R_X23Y11 TIEOFF TIEOFF[0,0] TIEOFF_X25Y11 |
CLBLM_R CLBLM_R_X23Y11 SLICEM SLICE[0,0] SLICE_X32Y11 SLICEL SLICE[1,0] SLICE_X33Y11 |
CLBLM_L CLBLM_L_X24Y11 SLICEM SLICE[0,0] SLICE_X34Y11 SLICEL SLICE[1,0] SLICE_X35Y11 |
INT_L INT_L_X24Y11 TIEOFF TIEOFF[0,0] TIEOFF_X26Y11 |
INT_R INT_R_X25Y11 TIEOFF TIEOFF[0,0] TIEOFF_X27Y11 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y11 |
VBRK VBRK_X109Y12 |
CLBLL_L CLBLL_L_X26Y11 SLICEL SLICE[0,0] SLICE_X36Y11 SLICEL SLICE[1,0] SLICE_X37Y11 |
INT_L INT_L_X26Y11 TIEOFF TIEOFF[0,0] TIEOFF_X28Y11 |
INT_R INT_R_X27Y11 TIEOFF TIEOFF[0,0] TIEOFF_X29Y11 |
CLBLM_R CLBLM_R_X27Y11 SLICEM SLICE[0,0] SLICE_X38Y11 SLICEL SLICE[1,0] SLICE_X39Y11 |
CLBLL_L CLBLL_L_X28Y11 SLICEL SLICE[0,0] SLICE_X40Y11 SLICEL SLICE[1,0] SLICE_X41Y11 |
INT_L INT_L_X28Y11 TIEOFF TIEOFF[0,0] TIEOFF_X30Y11 |
INT_R INT_R_X29Y11 TIEOFF TIEOFF[0,0] TIEOFF_X31Y11 |
CLBLM_R CLBLM_R_X29Y11 SLICEM SLICE[0,0] SLICE_X42Y11 SLICEL SLICE[1,0] SLICE_X43Y11 |
VBRK VBRK_X118Y12 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y11 |
INT_L INT_L_X30Y11 TIEOFF TIEOFF[0,0] TIEOFF_X32Y11 |
INT_R INT_R_X31Y11 TIEOFF TIEOFF[0,0] TIEOFF_X33Y11 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y11 |
R_TERM_INT R_TERM_INT_X125Y12 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y10 |
INT_L INT_L_X0Y10 TIEOFF TIEOFF[0,0] TIEOFF_X0Y10 |
INT_R INT_R_X1Y10 TIEOFF TIEOFF[0,0] TIEOFF_X1Y10 |
CLBLM_R CLBLM_R_X1Y10 SLICEM SLICE[0,0] SLICE_X0Y10 SLICEL SLICE[1,0] SLICE_X1Y10 |
CLBLL_L CLBLL_L_X2Y10 SLICEL SLICE[0,0] SLICE_X2Y10 SLICEL SLICE[1,0] SLICE_X3Y10 |
INT_L INT_L_X2Y10 TIEOFF TIEOFF[0,0] TIEOFF_X2Y10 |
INT_R INT_R_X3Y10 TIEOFF TIEOFF[0,0] TIEOFF_X3Y10 |
CLBLM_R CLBLM_R_X3Y10 SLICEM SLICE[0,0] SLICE_X4Y10 SLICEL SLICE[1,0] SLICE_X5Y10 |
VBRK VBRK_X39Y11 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y10 |
INT_L INT_L_X4Y10 TIEOFF TIEOFF[0,0] TIEOFF_X4Y10 |
INT_R INT_R_X5Y10 TIEOFF TIEOFF[0,0] TIEOFF_X5Y10 |
CLBLM_R CLBLM_R_X5Y10 SLICEM SLICE[0,0] SLICE_X6Y10 SLICEL SLICE[1,0] SLICE_X7Y10 |
CLBLM_L CLBLM_L_X6Y10 SLICEM SLICE[0,0] SLICE_X8Y10 SLICEL SLICE[1,0] SLICE_X9Y10 |
INT_L INT_L_X6Y10 TIEOFF TIEOFF[0,0] TIEOFF_X6Y10 |
INT_R INT_R_X7Y10 TIEOFF TIEOFF[0,0] TIEOFF_X7Y10 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y10 |
VBRK VBRK_X50Y11 |
CLBLM_L CLBLM_L_X8Y10 SLICEM SLICE[0,0] SLICE_X10Y10 SLICEL SLICE[1,0] SLICE_X11Y10 |
INT_L INT_L_X8Y10 TIEOFF TIEOFF[0,0] TIEOFF_X9Y10 |
INT_R INT_R_X9Y10 TIEOFF TIEOFF[0,0] TIEOFF_X10Y10 |
CLBLM_R CLBLM_R_X9Y10 SLICEM SLICE[0,0] SLICE_X12Y10 SLICEL SLICE[1,0] SLICE_X13Y10 |
VBRK VBRK_X55Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y11 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y11 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y11 |
VFRAME VFRAME_X68Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y10 |
INT_L INT_L_X10Y10 TIEOFF TIEOFF[0,0] TIEOFF_X11Y10 |
INT_R INT_R_X11Y10 TIEOFF TIEOFF[0,0] TIEOFF_X12Y10 |
CLBLL_R CLBLL_R_X11Y10 SLICEL SLICE[0,0] SLICE_X14Y10 SLICEL SLICE[1,0] SLICE_X15Y10 |
CLBLM_L CLBLM_L_X12Y10 SLICEM SLICE[0,0] SLICE_X16Y10 SLICEL SLICE[1,0] SLICE_X17Y10 |
INT_L INT_L_X12Y10 TIEOFF TIEOFF[0,0] TIEOFF_X13Y10 |
INT_R INT_R_X13Y10 TIEOFF TIEOFF[0,0] TIEOFF_X14Y10 |
CLBLL_R CLBLL_R_X13Y10 SLICEL SLICE[0,0] SLICE_X18Y10 SLICEL SLICE[1,0] SLICE_X19Y10 |
VBRK VBRK_X77Y11 |
CLBLM_L CLBLM_L_X14Y10 SLICEM SLICE[0,0] SLICE_X20Y10 SLICEL SLICE[1,0] SLICE_X21Y10 |
INT_L INT_L_X14Y10 TIEOFF TIEOFF[0,0] TIEOFF_X15Y10 |
INT_R INT_R_X15Y10 TIEOFF TIEOFF[0,0] TIEOFF_X16Y10 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y10 |
CLK_FEED CLK_FEED_X82Y11 |
VBRK VBRK_X83Y11 |
CLBLL_L CLBLL_L_X16Y10 SLICEL SLICE[0,0] SLICE_X22Y10 SLICEL SLICE[1,0] SLICE_X23Y10 |
INT_L INT_L_X16Y10 TIEOFF TIEOFF[0,0] TIEOFF_X17Y10 |
INT_R INT_R_X17Y10 TIEOFF TIEOFF[0,0] TIEOFF_X18Y10 |
CLBLM_R CLBLM_R_X17Y10 SLICEM SLICE[0,0] SLICE_X24Y10 SLICEL SLICE[1,0] SLICE_X25Y10 |
VBRK VBRK_X88Y11 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y10 |
INT_L INT_L_X18Y10 TIEOFF TIEOFF[0,0] TIEOFF_X19Y10 |
INT_R INT_R_X19Y10 TIEOFF TIEOFF[0,0] TIEOFF_X20Y10 |
CLBLL_R CLBLL_R_X19Y10 SLICEL SLICE[0,0] SLICE_X26Y10 SLICEL SLICE[1,0] SLICE_X27Y10 |
CLBLM_L CLBLM_L_X20Y10 SLICEM SLICE[0,0] SLICE_X28Y10 SLICEL SLICE[1,0] SLICE_X29Y10 |
INT_L INT_L_X20Y10 TIEOFF TIEOFF[0,0] TIEOFF_X21Y10 |
INT_R INT_R_X21Y10 TIEOFF TIEOFF[0,0] TIEOFF_X22Y10 |
CLBLM_R CLBLM_R_X21Y10 SLICEM SLICE[0,0] SLICE_X30Y10 SLICEL SLICE[1,0] SLICE_X31Y10 |
VBRK VBRK_X98Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y10 |
INT_L INT_L_X22Y10 TIEOFF TIEOFF[0,0] TIEOFF_X24Y10 |
INT_R INT_R_X23Y10 TIEOFF TIEOFF[0,0] TIEOFF_X25Y10 |
CLBLM_R CLBLM_R_X23Y10 SLICEM SLICE[0,0] SLICE_X32Y10 SLICEL SLICE[1,0] SLICE_X33Y10 |
CLBLM_L CLBLM_L_X24Y10 SLICEM SLICE[0,0] SLICE_X34Y10 SLICEL SLICE[1,0] SLICE_X35Y10 |
INT_L INT_L_X24Y10 TIEOFF TIEOFF[0,0] TIEOFF_X26Y10 |
INT_R INT_R_X25Y10 TIEOFF TIEOFF[0,0] TIEOFF_X27Y10 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y10 |
VBRK VBRK_X109Y11 |
CLBLL_L CLBLL_L_X26Y10 SLICEL SLICE[0,0] SLICE_X36Y10 SLICEL SLICE[1,0] SLICE_X37Y10 |
INT_L INT_L_X26Y10 TIEOFF TIEOFF[0,0] TIEOFF_X28Y10 |
INT_R INT_R_X27Y10 TIEOFF TIEOFF[0,0] TIEOFF_X29Y10 |
CLBLM_R CLBLM_R_X27Y10 SLICEM SLICE[0,0] SLICE_X38Y10 SLICEL SLICE[1,0] SLICE_X39Y10 |
CLBLL_L CLBLL_L_X28Y10 SLICEL SLICE[0,0] SLICE_X40Y10 SLICEL SLICE[1,0] SLICE_X41Y10 |
INT_L INT_L_X28Y10 TIEOFF TIEOFF[0,0] TIEOFF_X30Y10 |
INT_R INT_R_X29Y10 TIEOFF TIEOFF[0,0] TIEOFF_X31Y10 |
CLBLM_R CLBLM_R_X29Y10 SLICEM SLICE[0,0] SLICE_X42Y10 SLICEL SLICE[1,0] SLICE_X43Y10 |
VBRK VBRK_X118Y11 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y10 |
INT_L INT_L_X30Y10 TIEOFF TIEOFF[0,0] TIEOFF_X32Y10 |
INT_R INT_R_X31Y10 TIEOFF TIEOFF[0,0] TIEOFF_X33Y10 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y10 |
R_TERM_INT R_TERM_INT_X125Y11 |
RIOI3 RIOI3_X31Y9 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y9 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y10 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y9 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y10 IDELAYE2 IDELAY[0,0] IDELAY_X0Y9 IDELAYE2 IDELAY[0,1] IDELAY_X0Y10 |
RIOB33 RIOB33_X31Y9 IOB33S IOB[0,0] IOB_X0Y9 IOB33M IOB[0,1] IOB_X0Y10 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y9 |
INT_L INT_L_X0Y9 TIEOFF TIEOFF[0,0] TIEOFF_X0Y9 |
INT_R INT_R_X1Y9 TIEOFF TIEOFF[0,0] TIEOFF_X1Y9 |
CLBLM_R CLBLM_R_X1Y9 SLICEM SLICE[0,0] SLICE_X0Y9 SLICEL SLICE[1,0] SLICE_X1Y9 |
CLBLL_L CLBLL_L_X2Y9 SLICEL SLICE[0,0] SLICE_X2Y9 SLICEL SLICE[1,0] SLICE_X3Y9 |
INT_L INT_L_X2Y9 TIEOFF TIEOFF[0,0] TIEOFF_X2Y9 |
INT_R INT_R_X3Y9 TIEOFF TIEOFF[0,0] TIEOFF_X3Y9 |
CLBLM_R CLBLM_R_X3Y9 SLICEM SLICE[0,0] SLICE_X4Y9 SLICEL SLICE[1,0] SLICE_X5Y9 |
VBRK VBRK_X39Y10 |
BRAM_L BRAM_L_X4Y5 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y1 FIFO18E1 RAMB18[0,0] RAMB18_X0Y2 RAMB18E1 RAMB18[0,1] RAMB18_X0Y3 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y9 |
INT_L INT_L_X4Y9 TIEOFF TIEOFF[0,0] TIEOFF_X4Y9 |
INT_R INT_R_X5Y9 TIEOFF TIEOFF[0,0] TIEOFF_X5Y9 |
CLBLM_R CLBLM_R_X5Y9 SLICEM SLICE[0,0] SLICE_X6Y9 SLICEL SLICE[1,0] SLICE_X7Y9 |
CLBLM_L CLBLM_L_X6Y9 SLICEM SLICE[0,0] SLICE_X8Y9 SLICEL SLICE[1,0] SLICE_X9Y9 |
INT_L INT_L_X6Y9 TIEOFF TIEOFF[0,0] TIEOFF_X6Y9 |
INT_R INT_R_X7Y9 TIEOFF TIEOFF[0,0] TIEOFF_X7Y9 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y9 |
DSP_R DSP_R_X7Y5 TIEOFF TIEOFF[0,0] TIEOFF_X8Y5 DSP48E1 DSP48[0,0] DSP48_X0Y2 DSP48E1 DSP48[0,1] DSP48_X0Y3 |
VBRK VBRK_X50Y10 |
CLBLM_L CLBLM_L_X8Y9 SLICEM SLICE[0,0] SLICE_X10Y9 SLICEL SLICE[1,0] SLICE_X11Y9 |
INT_L INT_L_X8Y9 TIEOFF TIEOFF[0,0] TIEOFF_X9Y9 |
INT_R INT_R_X9Y9 TIEOFF TIEOFF[0,0] TIEOFF_X10Y9 |
CLBLM_R CLBLM_R_X9Y9 SLICEM SLICE[0,0] SLICE_X12Y9 SLICEL SLICE[1,0] SLICE_X13Y9 |
VBRK VBRK_X55Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y10 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y10 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y10 |
VFRAME VFRAME_X68Y10 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y9 |
INT_L INT_L_X10Y9 TIEOFF TIEOFF[0,0] TIEOFF_X11Y9 |
INT_R INT_R_X11Y9 TIEOFF TIEOFF[0,0] TIEOFF_X12Y9 |
CLBLL_R CLBLL_R_X11Y9 SLICEL SLICE[0,0] SLICE_X14Y9 SLICEL SLICE[1,0] SLICE_X15Y9 |
CLBLM_L CLBLM_L_X12Y9 SLICEM SLICE[0,0] SLICE_X16Y9 SLICEL SLICE[1,0] SLICE_X17Y9 |
INT_L INT_L_X12Y9 TIEOFF TIEOFF[0,0] TIEOFF_X13Y9 |
INT_R INT_R_X13Y9 TIEOFF TIEOFF[0,0] TIEOFF_X14Y9 |
CLBLL_R CLBLL_R_X13Y9 SLICEL SLICE[0,0] SLICE_X18Y9 SLICEL SLICE[1,0] SLICE_X19Y9 |
VBRK VBRK_X77Y10 |
CLBLM_L CLBLM_L_X14Y9 SLICEM SLICE[0,0] SLICE_X20Y9 SLICEL SLICE[1,0] SLICE_X21Y9 |
INT_L INT_L_X14Y9 TIEOFF TIEOFF[0,0] TIEOFF_X15Y9 |
INT_R INT_R_X15Y9 TIEOFF TIEOFF[0,0] TIEOFF_X16Y9 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y9 |
CLK_FEED CLK_FEED_X82Y10 |
VBRK VBRK_X83Y10 |
CLBLL_L CLBLL_L_X16Y9 SLICEL SLICE[0,0] SLICE_X22Y9 SLICEL SLICE[1,0] SLICE_X23Y9 |
INT_L INT_L_X16Y9 TIEOFF TIEOFF[0,0] TIEOFF_X17Y9 |
INT_R INT_R_X17Y9 TIEOFF TIEOFF[0,0] TIEOFF_X18Y9 |
CLBLM_R CLBLM_R_X17Y9 SLICEM SLICE[0,0] SLICE_X24Y9 SLICEL SLICE[1,0] SLICE_X25Y9 |
VBRK VBRK_X88Y10 |
BRAM_L BRAM_L_X18Y5 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y1 FIFO18E1 RAMB18[0,0] RAMB18_X1Y2 RAMB18E1 RAMB18[0,1] RAMB18_X1Y3 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y9 |
INT_L INT_L_X18Y9 TIEOFF TIEOFF[0,0] TIEOFF_X19Y9 |
INT_R INT_R_X19Y9 TIEOFF TIEOFF[0,0] TIEOFF_X20Y9 |
CLBLL_R CLBLL_R_X19Y9 SLICEL SLICE[0,0] SLICE_X26Y9 SLICEL SLICE[1,0] SLICE_X27Y9 |
CLBLM_L CLBLM_L_X20Y9 SLICEM SLICE[0,0] SLICE_X28Y9 SLICEL SLICE[1,0] SLICE_X29Y9 |
INT_L INT_L_X20Y9 TIEOFF TIEOFF[0,0] TIEOFF_X21Y9 |
INT_R INT_R_X21Y9 TIEOFF TIEOFF[0,0] TIEOFF_X22Y9 |
CLBLM_R CLBLM_R_X21Y9 SLICEM SLICE[0,0] SLICE_X30Y9 SLICEL SLICE[1,0] SLICE_X31Y9 |
VBRK VBRK_X98Y10 |
DSP_L DSP_L_X22Y5 TIEOFF TIEOFF[0,0] TIEOFF_X23Y5 DSP48E1 DSP48[0,0] DSP48_X1Y2 DSP48E1 DSP48[0,1] DSP48_X1Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y9 |
INT_L INT_L_X22Y9 TIEOFF TIEOFF[0,0] TIEOFF_X24Y9 |
INT_R INT_R_X23Y9 TIEOFF TIEOFF[0,0] TIEOFF_X25Y9 |
CLBLM_R CLBLM_R_X23Y9 SLICEM SLICE[0,0] SLICE_X32Y9 SLICEL SLICE[1,0] SLICE_X33Y9 |
CLBLM_L CLBLM_L_X24Y9 SLICEM SLICE[0,0] SLICE_X34Y9 SLICEL SLICE[1,0] SLICE_X35Y9 |
INT_L INT_L_X24Y9 TIEOFF TIEOFF[0,0] TIEOFF_X26Y9 |
INT_R INT_R_X25Y9 TIEOFF TIEOFF[0,0] TIEOFF_X27Y9 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y9 |
BRAM_R BRAM_R_X25Y5 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y1 FIFO18E1 RAMB18[0,0] RAMB18_X2Y2 RAMB18E1 RAMB18[0,1] RAMB18_X2Y3 |
VBRK VBRK_X109Y10 |
CLBLL_L CLBLL_L_X26Y9 SLICEL SLICE[0,0] SLICE_X36Y9 SLICEL SLICE[1,0] SLICE_X37Y9 |
INT_L INT_L_X26Y9 TIEOFF TIEOFF[0,0] TIEOFF_X28Y9 |
INT_R INT_R_X27Y9 TIEOFF TIEOFF[0,0] TIEOFF_X29Y9 |
CLBLM_R CLBLM_R_X27Y9 SLICEM SLICE[0,0] SLICE_X38Y9 SLICEL SLICE[1,0] SLICE_X39Y9 |
CLBLL_L CLBLL_L_X28Y9 SLICEL SLICE[0,0] SLICE_X40Y9 SLICEL SLICE[1,0] SLICE_X41Y9 |
INT_L INT_L_X28Y9 TIEOFF TIEOFF[0,0] TIEOFF_X30Y9 |
INT_R INT_R_X29Y9 TIEOFF TIEOFF[0,0] TIEOFF_X31Y9 |
CLBLM_R CLBLM_R_X29Y9 SLICEM SLICE[0,0] SLICE_X42Y9 SLICEL SLICE[1,0] SLICE_X43Y9 |
VBRK VBRK_X118Y10 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y9 |
INT_L INT_L_X30Y9 TIEOFF TIEOFF[0,0] TIEOFF_X32Y9 |
INT_R INT_R_X31Y9 TIEOFF TIEOFF[0,0] TIEOFF_X33Y9 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y9 |
R_TERM_INT R_TERM_INT_X125Y10 |
|||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y8 |
INT_L INT_L_X0Y8 TIEOFF TIEOFF[0,0] TIEOFF_X0Y8 |
INT_R INT_R_X1Y8 TIEOFF TIEOFF[0,0] TIEOFF_X1Y8 |
CLBLM_R CLBLM_R_X1Y8 SLICEM SLICE[0,0] SLICE_X0Y8 SLICEL SLICE[1,0] SLICE_X1Y8 |
CLBLL_L CLBLL_L_X2Y8 SLICEL SLICE[0,0] SLICE_X2Y8 SLICEL SLICE[1,0] SLICE_X3Y8 |
INT_L INT_L_X2Y8 TIEOFF TIEOFF[0,0] TIEOFF_X2Y8 |
INT_R INT_R_X3Y8 TIEOFF TIEOFF[0,0] TIEOFF_X3Y8 |
CLBLM_R CLBLM_R_X3Y8 SLICEM SLICE[0,0] SLICE_X4Y8 SLICEL SLICE[1,0] SLICE_X5Y8 |
VBRK VBRK_X39Y9 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y8 |
INT_L INT_L_X4Y8 TIEOFF TIEOFF[0,0] TIEOFF_X4Y8 |
INT_R INT_R_X5Y8 TIEOFF TIEOFF[0,0] TIEOFF_X5Y8 |
CLBLM_R CLBLM_R_X5Y8 SLICEM SLICE[0,0] SLICE_X6Y8 SLICEL SLICE[1,0] SLICE_X7Y8 |
CLBLM_L CLBLM_L_X6Y8 SLICEM SLICE[0,0] SLICE_X8Y8 SLICEL SLICE[1,0] SLICE_X9Y8 |
INT_L INT_L_X6Y8 TIEOFF TIEOFF[0,0] TIEOFF_X6Y8 |
INT_R INT_R_X7Y8 TIEOFF TIEOFF[0,0] TIEOFF_X7Y8 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y8 |
VBRK VBRK_X50Y9 |
CLBLM_L CLBLM_L_X8Y8 SLICEM SLICE[0,0] SLICE_X10Y8 SLICEL SLICE[1,0] SLICE_X11Y8 |
INT_L INT_L_X8Y8 TIEOFF TIEOFF[0,0] TIEOFF_X9Y8 |
INT_R INT_R_X9Y8 TIEOFF TIEOFF[0,0] TIEOFF_X10Y8 |
CLBLM_R CLBLM_R_X9Y8 SLICEM SLICE[0,0] SLICE_X12Y8 SLICEL SLICE[1,0] SLICE_X13Y8 |
VBRK VBRK_X55Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y9 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y9 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y9 |
VFRAME VFRAME_X68Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y8 |
INT_L INT_L_X10Y8 TIEOFF TIEOFF[0,0] TIEOFF_X11Y8 |
INT_R INT_R_X11Y8 TIEOFF TIEOFF[0,0] TIEOFF_X12Y8 |
CLBLL_R CLBLL_R_X11Y8 SLICEL SLICE[0,0] SLICE_X14Y8 SLICEL SLICE[1,0] SLICE_X15Y8 |
CLBLM_L CLBLM_L_X12Y8 SLICEM SLICE[0,0] SLICE_X16Y8 SLICEL SLICE[1,0] SLICE_X17Y8 |
INT_L INT_L_X12Y8 TIEOFF TIEOFF[0,0] TIEOFF_X13Y8 |
INT_R INT_R_X13Y8 TIEOFF TIEOFF[0,0] TIEOFF_X14Y8 |
CLBLL_R CLBLL_R_X13Y8 SLICEL SLICE[0,0] SLICE_X18Y8 SLICEL SLICE[1,0] SLICE_X19Y8 |
VBRK VBRK_X77Y9 |
CLBLM_L CLBLM_L_X14Y8 SLICEM SLICE[0,0] SLICE_X20Y8 SLICEL SLICE[1,0] SLICE_X21Y8 |
INT_L INT_L_X14Y8 TIEOFF TIEOFF[0,0] TIEOFF_X15Y8 |
INT_R INT_R_X15Y8 TIEOFF TIEOFF[0,0] TIEOFF_X16Y8 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y8 |
CLK_FEED CLK_FEED_X82Y9 |
VBRK VBRK_X83Y9 |
CLBLL_L CLBLL_L_X16Y8 SLICEL SLICE[0,0] SLICE_X22Y8 SLICEL SLICE[1,0] SLICE_X23Y8 |
INT_L INT_L_X16Y8 TIEOFF TIEOFF[0,0] TIEOFF_X17Y8 |
INT_R INT_R_X17Y8 TIEOFF TIEOFF[0,0] TIEOFF_X18Y8 |
CLBLM_R CLBLM_R_X17Y8 SLICEM SLICE[0,0] SLICE_X24Y8 SLICEL SLICE[1,0] SLICE_X25Y8 |
VBRK VBRK_X88Y9 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y8 |
INT_L INT_L_X18Y8 TIEOFF TIEOFF[0,0] TIEOFF_X19Y8 |
INT_R INT_R_X19Y8 TIEOFF TIEOFF[0,0] TIEOFF_X20Y8 |
CLBLL_R CLBLL_R_X19Y8 SLICEL SLICE[0,0] SLICE_X26Y8 SLICEL SLICE[1,0] SLICE_X27Y8 |
CLBLM_L CLBLM_L_X20Y8 SLICEM SLICE[0,0] SLICE_X28Y8 SLICEL SLICE[1,0] SLICE_X29Y8 |
INT_L INT_L_X20Y8 TIEOFF TIEOFF[0,0] TIEOFF_X21Y8 |
INT_R INT_R_X21Y8 TIEOFF TIEOFF[0,0] TIEOFF_X22Y8 |
CLBLM_R CLBLM_R_X21Y8 SLICEM SLICE[0,0] SLICE_X30Y8 SLICEL SLICE[1,0] SLICE_X31Y8 |
VBRK VBRK_X98Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y8 |
INT_L INT_L_X22Y8 TIEOFF TIEOFF[0,0] TIEOFF_X24Y8 |
INT_R INT_R_X23Y8 TIEOFF TIEOFF[0,0] TIEOFF_X25Y8 |
CLBLM_R CLBLM_R_X23Y8 SLICEM SLICE[0,0] SLICE_X32Y8 SLICEL SLICE[1,0] SLICE_X33Y8 |
CLBLM_L CLBLM_L_X24Y8 SLICEM SLICE[0,0] SLICE_X34Y8 SLICEL SLICE[1,0] SLICE_X35Y8 |
INT_L INT_L_X24Y8 TIEOFF TIEOFF[0,0] TIEOFF_X26Y8 |
INT_R INT_R_X25Y8 TIEOFF TIEOFF[0,0] TIEOFF_X27Y8 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y8 |
VBRK VBRK_X109Y9 |
CLBLL_L CLBLL_L_X26Y8 SLICEL SLICE[0,0] SLICE_X36Y8 SLICEL SLICE[1,0] SLICE_X37Y8 |
INT_L INT_L_X26Y8 TIEOFF TIEOFF[0,0] TIEOFF_X28Y8 |
INT_R INT_R_X27Y8 TIEOFF TIEOFF[0,0] TIEOFF_X29Y8 |
CLBLM_R CLBLM_R_X27Y8 SLICEM SLICE[0,0] SLICE_X38Y8 SLICEL SLICE[1,0] SLICE_X39Y8 |
CLBLL_L CLBLL_L_X28Y8 SLICEL SLICE[0,0] SLICE_X40Y8 SLICEL SLICE[1,0] SLICE_X41Y8 |
INT_L INT_L_X28Y8 TIEOFF TIEOFF[0,0] TIEOFF_X30Y8 |
INT_R INT_R_X29Y8 TIEOFF TIEOFF[0,0] TIEOFF_X31Y8 |
CLBLM_R CLBLM_R_X29Y8 SLICEM SLICE[0,0] SLICE_X42Y8 SLICEL SLICE[1,0] SLICE_X43Y8 |
VBRK VBRK_X118Y9 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y8 |
INT_L INT_L_X30Y8 TIEOFF TIEOFF[0,0] TIEOFF_X32Y8 |
INT_R INT_R_X31Y8 TIEOFF TIEOFF[0,0] TIEOFF_X33Y8 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y8 |
R_TERM_INT R_TERM_INT_X125Y9 |
RIOI3_TBYTESRC RIOI3_TBYTESRC_X31Y7 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y7 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y8 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y7 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y8 IDELAYE2 IDELAY[0,0] IDELAY_X0Y7 IDELAYE2 IDELAY[0,1] IDELAY_X0Y8 |
RIOB33 RIOB33_X31Y7 IOB33S IOB[0,0] IOB_X0Y7 IOB33M IOB[0,1] IOB_X0Y8 |
||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y7 |
INT_L INT_L_X0Y7 TIEOFF TIEOFF[0,0] TIEOFF_X0Y7 |
INT_R INT_R_X1Y7 TIEOFF TIEOFF[0,0] TIEOFF_X1Y7 |
CLBLM_R CLBLM_R_X1Y7 SLICEM SLICE[0,0] SLICE_X0Y7 SLICEL SLICE[1,0] SLICE_X1Y7 |
CLBLL_L CLBLL_L_X2Y7 SLICEL SLICE[0,0] SLICE_X2Y7 SLICEL SLICE[1,0] SLICE_X3Y7 |
INT_L INT_L_X2Y7 TIEOFF TIEOFF[0,0] TIEOFF_X2Y7 |
INT_R INT_R_X3Y7 TIEOFF TIEOFF[0,0] TIEOFF_X3Y7 |
CLBLM_R CLBLM_R_X3Y7 SLICEM SLICE[0,0] SLICE_X4Y7 SLICEL SLICE[1,0] SLICE_X5Y7 |
VBRK VBRK_X39Y8 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y7 |
INT_L INT_L_X4Y7 TIEOFF TIEOFF[0,0] TIEOFF_X4Y7 |
INT_R INT_R_X5Y7 TIEOFF TIEOFF[0,0] TIEOFF_X5Y7 |
CLBLM_R CLBLM_R_X5Y7 SLICEM SLICE[0,0] SLICE_X6Y7 SLICEL SLICE[1,0] SLICE_X7Y7 |
CLBLM_L CLBLM_L_X6Y7 SLICEM SLICE[0,0] SLICE_X8Y7 SLICEL SLICE[1,0] SLICE_X9Y7 |
INT_L INT_L_X6Y7 TIEOFF TIEOFF[0,0] TIEOFF_X6Y7 |
INT_R INT_R_X7Y7 TIEOFF TIEOFF[0,0] TIEOFF_X7Y7 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y7 |
VBRK VBRK_X50Y8 |
CLBLM_L CLBLM_L_X8Y7 SLICEM SLICE[0,0] SLICE_X10Y7 SLICEL SLICE[1,0] SLICE_X11Y7 |
INT_L INT_L_X8Y7 TIEOFF TIEOFF[0,0] TIEOFF_X9Y7 |
INT_R INT_R_X9Y7 TIEOFF TIEOFF[0,0] TIEOFF_X10Y7 |
CLBLM_R CLBLM_R_X9Y7 SLICEM SLICE[0,0] SLICE_X12Y7 SLICEL SLICE[1,0] SLICE_X13Y7 |
VBRK VBRK_X55Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y8 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y8 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y8 |
VFRAME VFRAME_X68Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y7 |
INT_L INT_L_X10Y7 TIEOFF TIEOFF[0,0] TIEOFF_X11Y7 |
INT_R INT_R_X11Y7 TIEOFF TIEOFF[0,0] TIEOFF_X12Y7 |
CLBLL_R CLBLL_R_X11Y7 SLICEL SLICE[0,0] SLICE_X14Y7 SLICEL SLICE[1,0] SLICE_X15Y7 |
CLBLM_L CLBLM_L_X12Y7 SLICEM SLICE[0,0] SLICE_X16Y7 SLICEL SLICE[1,0] SLICE_X17Y7 |
INT_L INT_L_X12Y7 TIEOFF TIEOFF[0,0] TIEOFF_X13Y7 |
INT_R INT_R_X13Y7 TIEOFF TIEOFF[0,0] TIEOFF_X14Y7 |
CLBLL_R CLBLL_R_X13Y7 SLICEL SLICE[0,0] SLICE_X18Y7 SLICEL SLICE[1,0] SLICE_X19Y7 |
VBRK VBRK_X77Y8 |
CLBLM_L CLBLM_L_X14Y7 SLICEM SLICE[0,0] SLICE_X20Y7 SLICEL SLICE[1,0] SLICE_X21Y7 |
INT_L INT_L_X14Y7 TIEOFF TIEOFF[0,0] TIEOFF_X15Y7 |
INT_R INT_R_X15Y7 TIEOFF TIEOFF[0,0] TIEOFF_X16Y7 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y7 |
CLK_PMV CLK_PMV_X82Y2 PMV PMV[0,0] PMV_X0Y0 |
VBRK VBRK_X83Y8 |
CLBLL_L CLBLL_L_X16Y7 SLICEL SLICE[0,0] SLICE_X22Y7 SLICEL SLICE[1,0] SLICE_X23Y7 |
INT_L INT_L_X16Y7 TIEOFF TIEOFF[0,0] TIEOFF_X17Y7 |
INT_R INT_R_X17Y7 TIEOFF TIEOFF[0,0] TIEOFF_X18Y7 |
CLBLM_R CLBLM_R_X17Y7 SLICEM SLICE[0,0] SLICE_X24Y7 SLICEL SLICE[1,0] SLICE_X25Y7 |
VBRK VBRK_X88Y8 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y7 |
INT_L INT_L_X18Y7 TIEOFF TIEOFF[0,0] TIEOFF_X19Y7 |
INT_R INT_R_X19Y7 TIEOFF TIEOFF[0,0] TIEOFF_X20Y7 |
CLBLL_R CLBLL_R_X19Y7 SLICEL SLICE[0,0] SLICE_X26Y7 SLICEL SLICE[1,0] SLICE_X27Y7 |
CLBLM_L CLBLM_L_X20Y7 SLICEM SLICE[0,0] SLICE_X28Y7 SLICEL SLICE[1,0] SLICE_X29Y7 |
INT_L INT_L_X20Y7 TIEOFF TIEOFF[0,0] TIEOFF_X21Y7 |
INT_R INT_R_X21Y7 TIEOFF TIEOFF[0,0] TIEOFF_X22Y7 |
CLBLM_R CLBLM_R_X21Y7 SLICEM SLICE[0,0] SLICE_X30Y7 SLICEL SLICE[1,0] SLICE_X31Y7 |
VBRK VBRK_X98Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y7 |
INT_L INT_L_X22Y7 TIEOFF TIEOFF[0,0] TIEOFF_X24Y7 |
INT_R INT_R_X23Y7 TIEOFF TIEOFF[0,0] TIEOFF_X25Y7 |
CLBLM_R CLBLM_R_X23Y7 SLICEM SLICE[0,0] SLICE_X32Y7 SLICEL SLICE[1,0] SLICE_X33Y7 |
CLBLM_L CLBLM_L_X24Y7 SLICEM SLICE[0,0] SLICE_X34Y7 SLICEL SLICE[1,0] SLICE_X35Y7 |
INT_L INT_L_X24Y7 TIEOFF TIEOFF[0,0] TIEOFF_X26Y7 |
INT_R INT_R_X25Y7 TIEOFF TIEOFF[0,0] TIEOFF_X27Y7 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y7 |
VBRK VBRK_X109Y8 |
CLBLL_L CLBLL_L_X26Y7 SLICEL SLICE[0,0] SLICE_X36Y7 SLICEL SLICE[1,0] SLICE_X37Y7 |
INT_L INT_L_X26Y7 TIEOFF TIEOFF[0,0] TIEOFF_X28Y7 |
INT_R INT_R_X27Y7 TIEOFF TIEOFF[0,0] TIEOFF_X29Y7 |
CLBLM_R CLBLM_R_X27Y7 SLICEM SLICE[0,0] SLICE_X38Y7 SLICEL SLICE[1,0] SLICE_X39Y7 |
CLBLL_L CLBLL_L_X28Y7 SLICEL SLICE[0,0] SLICE_X40Y7 SLICEL SLICE[1,0] SLICE_X41Y7 |
INT_L INT_L_X28Y7 TIEOFF TIEOFF[0,0] TIEOFF_X30Y7 |
INT_R INT_R_X29Y7 TIEOFF TIEOFF[0,0] TIEOFF_X31Y7 |
CLBLM_R CLBLM_R_X29Y7 SLICEM SLICE[0,0] SLICE_X42Y7 SLICEL SLICE[1,0] SLICE_X43Y7 |
VBRK VBRK_X118Y8 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y7 |
INT_L INT_L_X30Y7 TIEOFF TIEOFF[0,0] TIEOFF_X32Y7 |
INT_R INT_R_X31Y7 TIEOFF TIEOFF[0,0] TIEOFF_X33Y7 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y7 |
R_TERM_INT R_TERM_INT_X125Y8 |
||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y6 |
INT_L INT_L_X0Y6 TIEOFF TIEOFF[0,0] TIEOFF_X0Y6 |
INT_R INT_R_X1Y6 TIEOFF TIEOFF[0,0] TIEOFF_X1Y6 |
CLBLM_R CLBLM_R_X1Y6 SLICEM SLICE[0,0] SLICE_X0Y6 SLICEL SLICE[1,0] SLICE_X1Y6 |
CLBLL_L CLBLL_L_X2Y6 SLICEL SLICE[0,0] SLICE_X2Y6 SLICEL SLICE[1,0] SLICE_X3Y6 |
INT_L INT_L_X2Y6 TIEOFF TIEOFF[0,0] TIEOFF_X2Y6 |
INT_R INT_R_X3Y6 TIEOFF TIEOFF[0,0] TIEOFF_X3Y6 |
CLBLM_R CLBLM_R_X3Y6 SLICEM SLICE[0,0] SLICE_X4Y6 SLICEL SLICE[1,0] SLICE_X5Y6 |
VBRK VBRK_X39Y7 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y6 |
INT_L INT_L_X4Y6 TIEOFF TIEOFF[0,0] TIEOFF_X4Y6 |
INT_R INT_R_X5Y6 TIEOFF TIEOFF[0,0] TIEOFF_X5Y6 |
CLBLM_R CLBLM_R_X5Y6 SLICEM SLICE[0,0] SLICE_X6Y6 SLICEL SLICE[1,0] SLICE_X7Y6 |
CLBLM_L CLBLM_L_X6Y6 SLICEM SLICE[0,0] SLICE_X8Y6 SLICEL SLICE[1,0] SLICE_X9Y6 |
INT_L INT_L_X6Y6 TIEOFF TIEOFF[0,0] TIEOFF_X6Y6 |
INT_R INT_R_X7Y6 TIEOFF TIEOFF[0,0] TIEOFF_X7Y6 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y6 |
VBRK VBRK_X50Y7 |
CLBLM_L CLBLM_L_X8Y6 SLICEM SLICE[0,0] SLICE_X10Y6 SLICEL SLICE[1,0] SLICE_X11Y6 |
INT_L INT_L_X8Y6 TIEOFF TIEOFF[0,0] TIEOFF_X9Y6 |
INT_R INT_R_X9Y6 TIEOFF TIEOFF[0,0] TIEOFF_X10Y6 |
CLBLM_R CLBLM_R_X9Y6 SLICEM SLICE[0,0] SLICE_X12Y6 SLICEL SLICE[1,0] SLICE_X13Y6 |
VBRK VBRK_X55Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y7 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y7 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y7 |
VFRAME VFRAME_X68Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y6 |
INT_L INT_L_X10Y6 TIEOFF TIEOFF[0,0] TIEOFF_X11Y6 |
INT_R INT_R_X11Y6 TIEOFF TIEOFF[0,0] TIEOFF_X12Y6 |
CLBLL_R CLBLL_R_X11Y6 SLICEL SLICE[0,0] SLICE_X14Y6 SLICEL SLICE[1,0] SLICE_X15Y6 |
CLBLM_L CLBLM_L_X12Y6 SLICEM SLICE[0,0] SLICE_X16Y6 SLICEL SLICE[1,0] SLICE_X17Y6 |
INT_L INT_L_X12Y6 TIEOFF TIEOFF[0,0] TIEOFF_X13Y6 |
INT_R INT_R_X13Y6 TIEOFF TIEOFF[0,0] TIEOFF_X14Y6 |
CLBLL_R CLBLL_R_X13Y6 SLICEL SLICE[0,0] SLICE_X18Y6 SLICEL SLICE[1,0] SLICE_X19Y6 |
VBRK VBRK_X77Y7 |
CLBLM_L CLBLM_L_X14Y6 SLICEM SLICE[0,0] SLICE_X20Y6 SLICEL SLICE[1,0] SLICE_X21Y6 |
INT_L INT_L_X14Y6 TIEOFF TIEOFF[0,0] TIEOFF_X15Y6 |
INT_R INT_R_X15Y6 TIEOFF TIEOFF[0,0] TIEOFF_X16Y6 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y6 |
VBRK VBRK_X83Y7 |
CLBLL_L CLBLL_L_X16Y6 SLICEL SLICE[0,0] SLICE_X22Y6 SLICEL SLICE[1,0] SLICE_X23Y6 |
INT_L INT_L_X16Y6 TIEOFF TIEOFF[0,0] TIEOFF_X17Y6 |
INT_R INT_R_X17Y6 TIEOFF TIEOFF[0,0] TIEOFF_X18Y6 |
CLBLM_R CLBLM_R_X17Y6 SLICEM SLICE[0,0] SLICE_X24Y6 SLICEL SLICE[1,0] SLICE_X25Y6 |
VBRK VBRK_X88Y7 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y6 |
INT_L INT_L_X18Y6 TIEOFF TIEOFF[0,0] TIEOFF_X19Y6 |
INT_R INT_R_X19Y6 TIEOFF TIEOFF[0,0] TIEOFF_X20Y6 |
CLBLL_R CLBLL_R_X19Y6 SLICEL SLICE[0,0] SLICE_X26Y6 SLICEL SLICE[1,0] SLICE_X27Y6 |
CLBLM_L CLBLM_L_X20Y6 SLICEM SLICE[0,0] SLICE_X28Y6 SLICEL SLICE[1,0] SLICE_X29Y6 |
INT_L INT_L_X20Y6 TIEOFF TIEOFF[0,0] TIEOFF_X21Y6 |
INT_R INT_R_X21Y6 TIEOFF TIEOFF[0,0] TIEOFF_X22Y6 |
CLBLM_R CLBLM_R_X21Y6 SLICEM SLICE[0,0] SLICE_X30Y6 SLICEL SLICE[1,0] SLICE_X31Y6 |
VBRK VBRK_X98Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y6 |
INT_L INT_L_X22Y6 TIEOFF TIEOFF[0,0] TIEOFF_X24Y6 |
INT_R INT_R_X23Y6 TIEOFF TIEOFF[0,0] TIEOFF_X25Y6 |
CLBLM_R CLBLM_R_X23Y6 SLICEM SLICE[0,0] SLICE_X32Y6 SLICEL SLICE[1,0] SLICE_X33Y6 |
CLBLM_L CLBLM_L_X24Y6 SLICEM SLICE[0,0] SLICE_X34Y6 SLICEL SLICE[1,0] SLICE_X35Y6 |
INT_L INT_L_X24Y6 TIEOFF TIEOFF[0,0] TIEOFF_X26Y6 |
INT_R INT_R_X25Y6 TIEOFF TIEOFF[0,0] TIEOFF_X27Y6 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y6 |
VBRK VBRK_X109Y7 |
CLBLL_L CLBLL_L_X26Y6 SLICEL SLICE[0,0] SLICE_X36Y6 SLICEL SLICE[1,0] SLICE_X37Y6 |
INT_L INT_L_X26Y6 TIEOFF TIEOFF[0,0] TIEOFF_X28Y6 |
INT_R INT_R_X27Y6 TIEOFF TIEOFF[0,0] TIEOFF_X29Y6 |
CLBLM_R CLBLM_R_X27Y6 SLICEM SLICE[0,0] SLICE_X38Y6 SLICEL SLICE[1,0] SLICE_X39Y6 |
CLBLL_L CLBLL_L_X28Y6 SLICEL SLICE[0,0] SLICE_X40Y6 SLICEL SLICE[1,0] SLICE_X41Y6 |
INT_L INT_L_X28Y6 TIEOFF TIEOFF[0,0] TIEOFF_X30Y6 |
INT_R INT_R_X29Y6 TIEOFF TIEOFF[0,0] TIEOFF_X31Y6 |
CLBLM_R CLBLM_R_X29Y6 SLICEM SLICE[0,0] SLICE_X42Y6 SLICEL SLICE[1,0] SLICE_X43Y6 |
VBRK VBRK_X118Y7 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y6 |
INT_L INT_L_X30Y6 TIEOFF TIEOFF[0,0] TIEOFF_X32Y6 |
INT_R INT_R_X31Y6 TIEOFF TIEOFF[0,0] TIEOFF_X33Y6 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y6 |
R_TERM_INT R_TERM_INT_X125Y7 |
RIOI3 RIOI3_X31Y5 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y5 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y6 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y5 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y6 IDELAYE2 IDELAY[0,0] IDELAY_X0Y5 IDELAYE2 IDELAY[0,1] IDELAY_X0Y6 |
RIOB33 RIOB33_X31Y5 IOB33S IOB[0,0] IOB_X0Y5 IOB33M IOB[0,1] IOB_X0Y6 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y5 |
INT_L INT_L_X0Y5 TIEOFF TIEOFF[0,0] TIEOFF_X0Y5 |
INT_R INT_R_X1Y5 TIEOFF TIEOFF[0,0] TIEOFF_X1Y5 |
CLBLM_R CLBLM_R_X1Y5 SLICEM SLICE[0,0] SLICE_X0Y5 SLICEL SLICE[1,0] SLICE_X1Y5 |
CLBLL_L CLBLL_L_X2Y5 SLICEL SLICE[0,0] SLICE_X2Y5 SLICEL SLICE[1,0] SLICE_X3Y5 |
INT_L INT_L_X2Y5 TIEOFF TIEOFF[0,0] TIEOFF_X2Y5 |
INT_R INT_R_X3Y5 TIEOFF TIEOFF[0,0] TIEOFF_X3Y5 |
CLBLM_R CLBLM_R_X3Y5 SLICEM SLICE[0,0] SLICE_X4Y5 SLICEL SLICE[1,0] SLICE_X5Y5 |
VBRK VBRK_X39Y6 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y5 |
INT_L INT_L_X4Y5 TIEOFF TIEOFF[0,0] TIEOFF_X4Y5 |
INT_R INT_R_X5Y5 TIEOFF TIEOFF[0,0] TIEOFF_X5Y5 |
CLBLM_R CLBLM_R_X5Y5 SLICEM SLICE[0,0] SLICE_X6Y5 SLICEL SLICE[1,0] SLICE_X7Y5 |
CLBLM_L CLBLM_L_X6Y5 SLICEM SLICE[0,0] SLICE_X8Y5 SLICEL SLICE[1,0] SLICE_X9Y5 |
INT_L INT_L_X6Y5 TIEOFF TIEOFF[0,0] TIEOFF_X6Y5 |
INT_R INT_R_X7Y5 TIEOFF TIEOFF[0,0] TIEOFF_X7Y5 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y5 |
VBRK VBRK_X50Y6 |
CLBLM_L CLBLM_L_X8Y5 SLICEM SLICE[0,0] SLICE_X10Y5 SLICEL SLICE[1,0] SLICE_X11Y5 |
INT_L INT_L_X8Y5 TIEOFF TIEOFF[0,0] TIEOFF_X9Y5 |
INT_R INT_R_X9Y5 TIEOFF TIEOFF[0,0] TIEOFF_X10Y5 |
CLBLM_R CLBLM_R_X9Y5 SLICEM SLICE[0,0] SLICE_X12Y5 SLICEL SLICE[1,0] SLICE_X13Y5 |
VBRK VBRK_X55Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y6 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y6 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y6 |
VFRAME VFRAME_X68Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y5 |
INT_L INT_L_X10Y5 TIEOFF TIEOFF[0,0] TIEOFF_X11Y5 |
INT_R INT_R_X11Y5 TIEOFF TIEOFF[0,0] TIEOFF_X12Y5 |
CLBLL_R CLBLL_R_X11Y5 SLICEL SLICE[0,0] SLICE_X14Y5 SLICEL SLICE[1,0] SLICE_X15Y5 |
CLBLM_L CLBLM_L_X12Y5 SLICEM SLICE[0,0] SLICE_X16Y5 SLICEL SLICE[1,0] SLICE_X17Y5 |
INT_L INT_L_X12Y5 TIEOFF TIEOFF[0,0] TIEOFF_X13Y5 |
INT_R INT_R_X13Y5 TIEOFF TIEOFF[0,0] TIEOFF_X14Y5 |
CLBLL_R CLBLL_R_X13Y5 SLICEL SLICE[0,0] SLICE_X18Y5 SLICEL SLICE[1,0] SLICE_X19Y5 |
VBRK VBRK_X77Y6 |
CLBLM_L CLBLM_L_X14Y5 SLICEM SLICE[0,0] SLICE_X20Y5 SLICEL SLICE[1,0] SLICE_X21Y5 |
INT_L INT_L_X14Y5 TIEOFF TIEOFF[0,0] TIEOFF_X15Y5 |
INT_R INT_R_X15Y5 TIEOFF TIEOFF[0,0] TIEOFF_X16Y5 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y5 |
VBRK VBRK_X83Y6 |
CLBLL_L CLBLL_L_X16Y5 SLICEL SLICE[0,0] SLICE_X22Y5 SLICEL SLICE[1,0] SLICE_X23Y5 |
INT_L INT_L_X16Y5 TIEOFF TIEOFF[0,0] TIEOFF_X17Y5 |
INT_R INT_R_X17Y5 TIEOFF TIEOFF[0,0] TIEOFF_X18Y5 |
CLBLM_R CLBLM_R_X17Y5 SLICEM SLICE[0,0] SLICE_X24Y5 SLICEL SLICE[1,0] SLICE_X25Y5 |
VBRK VBRK_X88Y6 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y5 |
INT_L INT_L_X18Y5 TIEOFF TIEOFF[0,0] TIEOFF_X19Y5 |
INT_R INT_R_X19Y5 TIEOFF TIEOFF[0,0] TIEOFF_X20Y5 |
CLBLL_R CLBLL_R_X19Y5 SLICEL SLICE[0,0] SLICE_X26Y5 SLICEL SLICE[1,0] SLICE_X27Y5 |
CLBLM_L CLBLM_L_X20Y5 SLICEM SLICE[0,0] SLICE_X28Y5 SLICEL SLICE[1,0] SLICE_X29Y5 |
INT_L INT_L_X20Y5 TIEOFF TIEOFF[0,0] TIEOFF_X21Y5 |
INT_R INT_R_X21Y5 TIEOFF TIEOFF[0,0] TIEOFF_X22Y5 |
CLBLM_R CLBLM_R_X21Y5 SLICEM SLICE[0,0] SLICE_X30Y5 SLICEL SLICE[1,0] SLICE_X31Y5 |
VBRK VBRK_X98Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y5 |
INT_L INT_L_X22Y5 TIEOFF TIEOFF[0,0] TIEOFF_X24Y5 |
INT_R INT_R_X23Y5 TIEOFF TIEOFF[0,0] TIEOFF_X25Y5 |
CLBLM_R CLBLM_R_X23Y5 SLICEM SLICE[0,0] SLICE_X32Y5 SLICEL SLICE[1,0] SLICE_X33Y5 |
CLBLM_L CLBLM_L_X24Y5 SLICEM SLICE[0,0] SLICE_X34Y5 SLICEL SLICE[1,0] SLICE_X35Y5 |
INT_L INT_L_X24Y5 TIEOFF TIEOFF[0,0] TIEOFF_X26Y5 |
INT_R INT_R_X25Y5 TIEOFF TIEOFF[0,0] TIEOFF_X27Y5 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y5 |
VBRK VBRK_X109Y6 |
CLBLL_L CLBLL_L_X26Y5 SLICEL SLICE[0,0] SLICE_X36Y5 SLICEL SLICE[1,0] SLICE_X37Y5 |
INT_L INT_L_X26Y5 TIEOFF TIEOFF[0,0] TIEOFF_X28Y5 |
INT_R INT_R_X27Y5 TIEOFF TIEOFF[0,0] TIEOFF_X29Y5 |
CLBLM_R CLBLM_R_X27Y5 SLICEM SLICE[0,0] SLICE_X38Y5 SLICEL SLICE[1,0] SLICE_X39Y5 |
CLBLL_L CLBLL_L_X28Y5 SLICEL SLICE[0,0] SLICE_X40Y5 SLICEL SLICE[1,0] SLICE_X41Y5 |
INT_L INT_L_X28Y5 TIEOFF TIEOFF[0,0] TIEOFF_X30Y5 |
INT_R INT_R_X29Y5 TIEOFF TIEOFF[0,0] TIEOFF_X31Y5 |
CLBLM_R CLBLM_R_X29Y5 SLICEM SLICE[0,0] SLICE_X42Y5 SLICEL SLICE[1,0] SLICE_X43Y5 |
VBRK VBRK_X118Y6 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y5 |
INT_L INT_L_X30Y5 TIEOFF TIEOFF[0,0] TIEOFF_X32Y5 |
INT_R INT_R_X31Y5 TIEOFF TIEOFF[0,0] TIEOFF_X33Y5 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y5 |
R_TERM_INT R_TERM_INT_X125Y6 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y4 |
INT_L INT_L_X0Y4 TIEOFF TIEOFF[0,0] TIEOFF_X0Y4 |
INT_R INT_R_X1Y4 TIEOFF TIEOFF[0,0] TIEOFF_X1Y4 |
CLBLM_R CLBLM_R_X1Y4 SLICEM SLICE[0,0] SLICE_X0Y4 SLICEL SLICE[1,0] SLICE_X1Y4 |
CLBLL_L CLBLL_L_X2Y4 SLICEL SLICE[0,0] SLICE_X2Y4 SLICEL SLICE[1,0] SLICE_X3Y4 |
INT_L INT_L_X2Y4 TIEOFF TIEOFF[0,0] TIEOFF_X2Y4 |
INT_R INT_R_X3Y4 TIEOFF TIEOFF[0,0] TIEOFF_X3Y4 |
CLBLM_R CLBLM_R_X3Y4 SLICEM SLICE[0,0] SLICE_X4Y4 SLICEL SLICE[1,0] SLICE_X5Y4 |
VBRK VBRK_X39Y5 |
BRAM_L BRAM_L_X4Y0 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X0Y0 FIFO18E1 RAMB18[0,0] RAMB18_X0Y0 RAMB18E1 RAMB18[0,1] RAMB18_X0Y1 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y4 |
INT_L INT_L_X4Y4 TIEOFF TIEOFF[0,0] TIEOFF_X4Y4 |
INT_R INT_R_X5Y4 TIEOFF TIEOFF[0,0] TIEOFF_X5Y4 |
CLBLM_R CLBLM_R_X5Y4 SLICEM SLICE[0,0] SLICE_X6Y4 SLICEL SLICE[1,0] SLICE_X7Y4 |
CLBLM_L CLBLM_L_X6Y4 SLICEM SLICE[0,0] SLICE_X8Y4 SLICEL SLICE[1,0] SLICE_X9Y4 |
INT_L INT_L_X6Y4 TIEOFF TIEOFF[0,0] TIEOFF_X6Y4 |
INT_R INT_R_X7Y4 TIEOFF TIEOFF[0,0] TIEOFF_X7Y4 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y4 |
DSP_R DSP_R_X7Y0 TIEOFF TIEOFF[0,0] TIEOFF_X8Y0 DSP48E1 DSP48[0,0] DSP48_X0Y0 DSP48E1 DSP48[0,1] DSP48_X0Y1 |
VBRK VBRK_X50Y5 |
CLBLM_L CLBLM_L_X8Y4 SLICEM SLICE[0,0] SLICE_X10Y4 SLICEL SLICE[1,0] SLICE_X11Y4 |
INT_L INT_L_X8Y4 TIEOFF TIEOFF[0,0] TIEOFF_X9Y4 |
INT_R INT_R_X9Y4 TIEOFF TIEOFF[0,0] TIEOFF_X10Y4 |
CLBLM_R CLBLM_R_X9Y4 SLICEM SLICE[0,0] SLICE_X12Y4 SLICEL SLICE[1,0] SLICE_X13Y4 |
VBRK VBRK_X55Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y5 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y5 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y5 |
VFRAME VFRAME_X68Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y4 |
INT_L INT_L_X10Y4 TIEOFF TIEOFF[0,0] TIEOFF_X11Y4 |
INT_R INT_R_X11Y4 TIEOFF TIEOFF[0,0] TIEOFF_X12Y4 |
CLBLL_R CLBLL_R_X11Y4 SLICEL SLICE[0,0] SLICE_X14Y4 SLICEL SLICE[1,0] SLICE_X15Y4 |
CLBLM_L CLBLM_L_X12Y4 SLICEM SLICE[0,0] SLICE_X16Y4 SLICEL SLICE[1,0] SLICE_X17Y4 |
INT_L INT_L_X12Y4 TIEOFF TIEOFF[0,0] TIEOFF_X13Y4 |
INT_R INT_R_X13Y4 TIEOFF TIEOFF[0,0] TIEOFF_X14Y4 |
CLBLL_R CLBLL_R_X13Y4 SLICEL SLICE[0,0] SLICE_X18Y4 SLICEL SLICE[1,0] SLICE_X19Y4 |
VBRK VBRK_X77Y5 |
CLBLM_L CLBLM_L_X14Y4 SLICEM SLICE[0,0] SLICE_X20Y4 SLICEL SLICE[1,0] SLICE_X21Y4 |
INT_L INT_L_X14Y4 TIEOFF TIEOFF[0,0] TIEOFF_X15Y4 |
INT_R INT_R_X15Y4 TIEOFF TIEOFF[0,0] TIEOFF_X16Y4 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y4 |
VBRK VBRK_X83Y5 |
CLBLL_L CLBLL_L_X16Y4 SLICEL SLICE[0,0] SLICE_X22Y4 SLICEL SLICE[1,0] SLICE_X23Y4 |
INT_L INT_L_X16Y4 TIEOFF TIEOFF[0,0] TIEOFF_X17Y4 |
INT_R INT_R_X17Y4 TIEOFF TIEOFF[0,0] TIEOFF_X18Y4 |
CLBLM_R CLBLM_R_X17Y4 SLICEM SLICE[0,0] SLICE_X24Y4 SLICEL SLICE[1,0] SLICE_X25Y4 |
VBRK VBRK_X88Y5 |
BRAM_L BRAM_L_X18Y0 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X1Y0 FIFO18E1 RAMB18[0,0] RAMB18_X1Y0 RAMB18E1 RAMB18[0,1] RAMB18_X1Y1 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y4 |
INT_L INT_L_X18Y4 TIEOFF TIEOFF[0,0] TIEOFF_X19Y4 |
INT_R INT_R_X19Y4 TIEOFF TIEOFF[0,0] TIEOFF_X20Y4 |
CLBLL_R CLBLL_R_X19Y4 SLICEL SLICE[0,0] SLICE_X26Y4 SLICEL SLICE[1,0] SLICE_X27Y4 |
CLBLM_L CLBLM_L_X20Y4 SLICEM SLICE[0,0] SLICE_X28Y4 SLICEL SLICE[1,0] SLICE_X29Y4 |
INT_L INT_L_X20Y4 TIEOFF TIEOFF[0,0] TIEOFF_X21Y4 |
INT_R INT_R_X21Y4 TIEOFF TIEOFF[0,0] TIEOFF_X22Y4 |
CLBLM_R CLBLM_R_X21Y4 SLICEM SLICE[0,0] SLICE_X30Y4 SLICEL SLICE[1,0] SLICE_X31Y4 |
VBRK VBRK_X98Y5 |
DSP_L DSP_L_X22Y0 TIEOFF TIEOFF[0,0] TIEOFF_X23Y0 DSP48E1 DSP48[0,0] DSP48_X1Y0 DSP48E1 DSP48[0,1] DSP48_X1Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y4 |
INT_L INT_L_X22Y4 TIEOFF TIEOFF[0,0] TIEOFF_X24Y4 |
INT_R INT_R_X23Y4 TIEOFF TIEOFF[0,0] TIEOFF_X25Y4 |
CLBLM_R CLBLM_R_X23Y4 SLICEM SLICE[0,0] SLICE_X32Y4 SLICEL SLICE[1,0] SLICE_X33Y4 |
CLBLM_L CLBLM_L_X24Y4 SLICEM SLICE[0,0] SLICE_X34Y4 SLICEL SLICE[1,0] SLICE_X35Y4 |
INT_L INT_L_X24Y4 TIEOFF TIEOFF[0,0] TIEOFF_X26Y4 |
INT_R INT_R_X25Y4 TIEOFF TIEOFF[0,0] TIEOFF_X27Y4 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y4 |
BRAM_R BRAM_R_X25Y0 RAMBFIFO36E1 RAMB36[0,0] RAMB36_X2Y0 FIFO18E1 RAMB18[0,0] RAMB18_X2Y0 RAMB18E1 RAMB18[0,1] RAMB18_X2Y1 |
VBRK VBRK_X109Y5 |
CLBLL_L CLBLL_L_X26Y4 SLICEL SLICE[0,0] SLICE_X36Y4 SLICEL SLICE[1,0] SLICE_X37Y4 |
INT_L INT_L_X26Y4 TIEOFF TIEOFF[0,0] TIEOFF_X28Y4 |
INT_R INT_R_X27Y4 TIEOFF TIEOFF[0,0] TIEOFF_X29Y4 |
CLBLM_R CLBLM_R_X27Y4 SLICEM SLICE[0,0] SLICE_X38Y4 SLICEL SLICE[1,0] SLICE_X39Y4 |
CLBLL_L CLBLL_L_X28Y4 SLICEL SLICE[0,0] SLICE_X40Y4 SLICEL SLICE[1,0] SLICE_X41Y4 |
INT_L INT_L_X28Y4 TIEOFF TIEOFF[0,0] TIEOFF_X30Y4 |
INT_R INT_R_X29Y4 TIEOFF TIEOFF[0,0] TIEOFF_X31Y4 |
CLBLM_R CLBLM_R_X29Y4 SLICEM SLICE[0,0] SLICE_X42Y4 SLICEL SLICE[1,0] SLICE_X43Y4 |
VBRK VBRK_X118Y5 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y4 |
INT_L INT_L_X30Y4 TIEOFF TIEOFF[0,0] TIEOFF_X32Y4 |
INT_R INT_R_X31Y4 TIEOFF TIEOFF[0,0] TIEOFF_X33Y4 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y4 |
R_TERM_INT R_TERM_INT_X125Y5 |
RIOI3 RIOI3_X31Y3 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y3 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y4 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y3 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y4 IDELAYE2 IDELAY[0,0] IDELAY_X0Y3 IDELAYE2 IDELAY[0,1] IDELAY_X0Y4 |
RIOB33 RIOB33_X31Y3 IOB33S IOB[0,0] IOB_X0Y3 IOB33M IOB[0,1] IOB_X0Y4 |
||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y3 |
INT_L INT_L_X0Y3 TIEOFF TIEOFF[0,0] TIEOFF_X0Y3 |
INT_R INT_R_X1Y3 TIEOFF TIEOFF[0,0] TIEOFF_X1Y3 |
CLBLM_R CLBLM_R_X1Y3 SLICEM SLICE[0,0] SLICE_X0Y3 SLICEL SLICE[1,0] SLICE_X1Y3 |
CLBLL_L CLBLL_L_X2Y3 SLICEL SLICE[0,0] SLICE_X2Y3 SLICEL SLICE[1,0] SLICE_X3Y3 |
INT_L INT_L_X2Y3 TIEOFF TIEOFF[0,0] TIEOFF_X2Y3 |
INT_R INT_R_X3Y3 TIEOFF TIEOFF[0,0] TIEOFF_X3Y3 |
CLBLM_R CLBLM_R_X3Y3 SLICEM SLICE[0,0] SLICE_X4Y3 SLICEL SLICE[1,0] SLICE_X5Y3 |
VBRK VBRK_X39Y4 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y3 |
INT_L INT_L_X4Y3 TIEOFF TIEOFF[0,0] TIEOFF_X4Y3 |
INT_R INT_R_X5Y3 TIEOFF TIEOFF[0,0] TIEOFF_X5Y3 |
CLBLM_R CLBLM_R_X5Y3 SLICEM SLICE[0,0] SLICE_X6Y3 SLICEL SLICE[1,0] SLICE_X7Y3 |
CLBLM_L CLBLM_L_X6Y3 SLICEM SLICE[0,0] SLICE_X8Y3 SLICEL SLICE[1,0] SLICE_X9Y3 |
INT_L INT_L_X6Y3 TIEOFF TIEOFF[0,0] TIEOFF_X6Y3 |
INT_R INT_R_X7Y3 TIEOFF TIEOFF[0,0] TIEOFF_X7Y3 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y3 |
VBRK VBRK_X50Y4 |
CLBLM_L CLBLM_L_X8Y3 SLICEM SLICE[0,0] SLICE_X10Y3 SLICEL SLICE[1,0] SLICE_X11Y3 |
INT_L INT_L_X8Y3 TIEOFF TIEOFF[0,0] TIEOFF_X9Y3 |
INT_R INT_R_X9Y3 TIEOFF TIEOFF[0,0] TIEOFF_X10Y3 |
CLBLM_R CLBLM_R_X9Y3 SLICEM SLICE[0,0] SLICE_X12Y3 SLICEL SLICE[1,0] SLICE_X13Y3 |
VBRK VBRK_X55Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y4 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y4 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y4 |
VFRAME VFRAME_X68Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y3 |
INT_L INT_L_X10Y3 TIEOFF TIEOFF[0,0] TIEOFF_X11Y3 |
INT_R INT_R_X11Y3 TIEOFF TIEOFF[0,0] TIEOFF_X12Y3 |
CLBLL_R CLBLL_R_X11Y3 SLICEL SLICE[0,0] SLICE_X14Y3 SLICEL SLICE[1,0] SLICE_X15Y3 |
CLBLM_L CLBLM_L_X12Y3 SLICEM SLICE[0,0] SLICE_X16Y3 SLICEL SLICE[1,0] SLICE_X17Y3 |
INT_L INT_L_X12Y3 TIEOFF TIEOFF[0,0] TIEOFF_X13Y3 |
INT_R INT_R_X13Y3 TIEOFF TIEOFF[0,0] TIEOFF_X14Y3 |
CLBLL_R CLBLL_R_X13Y3 SLICEL SLICE[0,0] SLICE_X18Y3 SLICEL SLICE[1,0] SLICE_X19Y3 |
VBRK VBRK_X77Y4 |
CLBLM_L CLBLM_L_X14Y3 SLICEM SLICE[0,0] SLICE_X20Y3 SLICEL SLICE[1,0] SLICE_X21Y3 |
INT_L INT_L_X14Y3 TIEOFF TIEOFF[0,0] TIEOFF_X15Y3 |
INT_R INT_R_X15Y3 TIEOFF TIEOFF[0,0] TIEOFF_X16Y3 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y3 |
VBRK VBRK_X83Y4 |
CLBLL_L CLBLL_L_X16Y3 SLICEL SLICE[0,0] SLICE_X22Y3 SLICEL SLICE[1,0] SLICE_X23Y3 |
INT_L INT_L_X16Y3 TIEOFF TIEOFF[0,0] TIEOFF_X17Y3 |
INT_R INT_R_X17Y3 TIEOFF TIEOFF[0,0] TIEOFF_X18Y3 |
CLBLM_R CLBLM_R_X17Y3 SLICEM SLICE[0,0] SLICE_X24Y3 SLICEL SLICE[1,0] SLICE_X25Y3 |
VBRK VBRK_X88Y4 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y3 |
INT_L INT_L_X18Y3 TIEOFF TIEOFF[0,0] TIEOFF_X19Y3 |
INT_R INT_R_X19Y3 TIEOFF TIEOFF[0,0] TIEOFF_X20Y3 |
CLBLL_R CLBLL_R_X19Y3 SLICEL SLICE[0,0] SLICE_X26Y3 SLICEL SLICE[1,0] SLICE_X27Y3 |
CLBLM_L CLBLM_L_X20Y3 SLICEM SLICE[0,0] SLICE_X28Y3 SLICEL SLICE[1,0] SLICE_X29Y3 |
INT_L INT_L_X20Y3 TIEOFF TIEOFF[0,0] TIEOFF_X21Y3 |
INT_R INT_R_X21Y3 TIEOFF TIEOFF[0,0] TIEOFF_X22Y3 |
CLBLM_R CLBLM_R_X21Y3 SLICEM SLICE[0,0] SLICE_X30Y3 SLICEL SLICE[1,0] SLICE_X31Y3 |
VBRK VBRK_X98Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y3 |
INT_L INT_L_X22Y3 TIEOFF TIEOFF[0,0] TIEOFF_X24Y3 |
INT_R INT_R_X23Y3 TIEOFF TIEOFF[0,0] TIEOFF_X25Y3 |
CLBLM_R CLBLM_R_X23Y3 SLICEM SLICE[0,0] SLICE_X32Y3 SLICEL SLICE[1,0] SLICE_X33Y3 |
CLBLM_L CLBLM_L_X24Y3 SLICEM SLICE[0,0] SLICE_X34Y3 SLICEL SLICE[1,0] SLICE_X35Y3 |
INT_L INT_L_X24Y3 TIEOFF TIEOFF[0,0] TIEOFF_X26Y3 |
INT_R INT_R_X25Y3 TIEOFF TIEOFF[0,0] TIEOFF_X27Y3 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y3 |
VBRK VBRK_X109Y4 |
CLBLL_L CLBLL_L_X26Y3 SLICEL SLICE[0,0] SLICE_X36Y3 SLICEL SLICE[1,0] SLICE_X37Y3 |
INT_L INT_L_X26Y3 TIEOFF TIEOFF[0,0] TIEOFF_X28Y3 |
INT_R INT_R_X27Y3 TIEOFF TIEOFF[0,0] TIEOFF_X29Y3 |
CLBLM_R CLBLM_R_X27Y3 SLICEM SLICE[0,0] SLICE_X38Y3 SLICEL SLICE[1,0] SLICE_X39Y3 |
CLBLL_L CLBLL_L_X28Y3 SLICEL SLICE[0,0] SLICE_X40Y3 SLICEL SLICE[1,0] SLICE_X41Y3 |
INT_L INT_L_X28Y3 TIEOFF TIEOFF[0,0] TIEOFF_X30Y3 |
INT_R INT_R_X29Y3 TIEOFF TIEOFF[0,0] TIEOFF_X31Y3 |
CLBLM_R CLBLM_R_X29Y3 SLICEM SLICE[0,0] SLICE_X42Y3 SLICEL SLICE[1,0] SLICE_X43Y3 |
VBRK VBRK_X118Y4 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y3 |
INT_L INT_L_X30Y3 TIEOFF TIEOFF[0,0] TIEOFF_X32Y3 |
INT_R INT_R_X31Y3 TIEOFF TIEOFF[0,0] TIEOFF_X33Y3 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y3 |
R_TERM_INT R_TERM_INT_X125Y4 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y2 |
INT_L INT_L_X0Y2 TIEOFF TIEOFF[0,0] TIEOFF_X0Y2 |
INT_R INT_R_X1Y2 TIEOFF TIEOFF[0,0] TIEOFF_X1Y2 |
CLBLM_R CLBLM_R_X1Y2 SLICEM SLICE[0,0] SLICE_X0Y2 SLICEL SLICE[1,0] SLICE_X1Y2 |
CLBLL_L CLBLL_L_X2Y2 SLICEL SLICE[0,0] SLICE_X2Y2 SLICEL SLICE[1,0] SLICE_X3Y2 |
INT_L INT_L_X2Y2 TIEOFF TIEOFF[0,0] TIEOFF_X2Y2 |
INT_R INT_R_X3Y2 TIEOFF TIEOFF[0,0] TIEOFF_X3Y2 |
CLBLM_R CLBLM_R_X3Y2 SLICEM SLICE[0,0] SLICE_X4Y2 SLICEL SLICE[1,0] SLICE_X5Y2 |
VBRK VBRK_X39Y3 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y2 |
INT_L INT_L_X4Y2 TIEOFF TIEOFF[0,0] TIEOFF_X4Y2 |
INT_R INT_R_X5Y2 TIEOFF TIEOFF[0,0] TIEOFF_X5Y2 |
CLBLM_R CLBLM_R_X5Y2 SLICEM SLICE[0,0] SLICE_X6Y2 SLICEL SLICE[1,0] SLICE_X7Y2 |
CLBLM_L CLBLM_L_X6Y2 SLICEM SLICE[0,0] SLICE_X8Y2 SLICEL SLICE[1,0] SLICE_X9Y2 |
INT_L INT_L_X6Y2 TIEOFF TIEOFF[0,0] TIEOFF_X6Y2 |
INT_R INT_R_X7Y2 TIEOFF TIEOFF[0,0] TIEOFF_X7Y2 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y2 |
VBRK VBRK_X50Y3 |
CLBLM_L CLBLM_L_X8Y2 SLICEM SLICE[0,0] SLICE_X10Y2 SLICEL SLICE[1,0] SLICE_X11Y2 |
INT_L INT_L_X8Y2 TIEOFF TIEOFF[0,0] TIEOFF_X9Y2 |
INT_R INT_R_X9Y2 TIEOFF TIEOFF[0,0] TIEOFF_X10Y2 |
CLBLM_R CLBLM_R_X9Y2 SLICEM SLICE[0,0] SLICE_X12Y2 SLICEL SLICE[1,0] SLICE_X13Y2 |
VBRK VBRK_X55Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y3 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y3 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y3 |
VFRAME VFRAME_X68Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y2 |
INT_L INT_L_X10Y2 TIEOFF TIEOFF[0,0] TIEOFF_X11Y2 |
INT_R INT_R_X11Y2 TIEOFF TIEOFF[0,0] TIEOFF_X12Y2 |
CLBLL_R CLBLL_R_X11Y2 SLICEL SLICE[0,0] SLICE_X14Y2 SLICEL SLICE[1,0] SLICE_X15Y2 |
CLBLM_L CLBLM_L_X12Y2 SLICEM SLICE[0,0] SLICE_X16Y2 SLICEL SLICE[1,0] SLICE_X17Y2 |
INT_L INT_L_X12Y2 TIEOFF TIEOFF[0,0] TIEOFF_X13Y2 |
INT_R INT_R_X13Y2 TIEOFF TIEOFF[0,0] TIEOFF_X14Y2 |
CLBLL_R CLBLL_R_X13Y2 SLICEL SLICE[0,0] SLICE_X18Y2 SLICEL SLICE[1,0] SLICE_X19Y2 |
VBRK VBRK_X77Y3 |
CLBLM_L CLBLM_L_X14Y2 SLICEM SLICE[0,0] SLICE_X20Y2 SLICEL SLICE[1,0] SLICE_X21Y2 |
INT_L INT_L_X14Y2 TIEOFF TIEOFF[0,0] TIEOFF_X15Y2 |
INT_R INT_R_X15Y2 TIEOFF TIEOFF[0,0] TIEOFF_X16Y2 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y2 |
VBRK VBRK_X83Y3 |
CLBLL_L CLBLL_L_X16Y2 SLICEL SLICE[0,0] SLICE_X22Y2 SLICEL SLICE[1,0] SLICE_X23Y2 |
INT_L INT_L_X16Y2 TIEOFF TIEOFF[0,0] TIEOFF_X17Y2 |
INT_R INT_R_X17Y2 TIEOFF TIEOFF[0,0] TIEOFF_X18Y2 |
CLBLM_R CLBLM_R_X17Y2 SLICEM SLICE[0,0] SLICE_X24Y2 SLICEL SLICE[1,0] SLICE_X25Y2 |
VBRK VBRK_X88Y3 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y2 |
INT_L INT_L_X18Y2 TIEOFF TIEOFF[0,0] TIEOFF_X19Y2 |
INT_R INT_R_X19Y2 TIEOFF TIEOFF[0,0] TIEOFF_X20Y2 |
CLBLL_R CLBLL_R_X19Y2 SLICEL SLICE[0,0] SLICE_X26Y2 SLICEL SLICE[1,0] SLICE_X27Y2 |
CLBLM_L CLBLM_L_X20Y2 SLICEM SLICE[0,0] SLICE_X28Y2 SLICEL SLICE[1,0] SLICE_X29Y2 |
INT_L INT_L_X20Y2 TIEOFF TIEOFF[0,0] TIEOFF_X21Y2 |
INT_R INT_R_X21Y2 TIEOFF TIEOFF[0,0] TIEOFF_X22Y2 |
CLBLM_R CLBLM_R_X21Y2 SLICEM SLICE[0,0] SLICE_X30Y2 SLICEL SLICE[1,0] SLICE_X31Y2 |
VBRK VBRK_X98Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y2 |
INT_L INT_L_X22Y2 TIEOFF TIEOFF[0,0] TIEOFF_X24Y2 |
INT_R INT_R_X23Y2 TIEOFF TIEOFF[0,0] TIEOFF_X25Y2 |
CLBLM_R CLBLM_R_X23Y2 SLICEM SLICE[0,0] SLICE_X32Y2 SLICEL SLICE[1,0] SLICE_X33Y2 |
CLBLM_L CLBLM_L_X24Y2 SLICEM SLICE[0,0] SLICE_X34Y2 SLICEL SLICE[1,0] SLICE_X35Y2 |
INT_L INT_L_X24Y2 TIEOFF TIEOFF[0,0] TIEOFF_X26Y2 |
INT_R INT_R_X25Y2 TIEOFF TIEOFF[0,0] TIEOFF_X27Y2 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y2 |
VBRK VBRK_X109Y3 |
CLBLL_L CLBLL_L_X26Y2 SLICEL SLICE[0,0] SLICE_X36Y2 SLICEL SLICE[1,0] SLICE_X37Y2 |
INT_L INT_L_X26Y2 TIEOFF TIEOFF[0,0] TIEOFF_X28Y2 |
INT_R INT_R_X27Y2 TIEOFF TIEOFF[0,0] TIEOFF_X29Y2 |
CLBLM_R CLBLM_R_X27Y2 SLICEM SLICE[0,0] SLICE_X38Y2 SLICEL SLICE[1,0] SLICE_X39Y2 |
CLBLL_L CLBLL_L_X28Y2 SLICEL SLICE[0,0] SLICE_X40Y2 SLICEL SLICE[1,0] SLICE_X41Y2 |
INT_L INT_L_X28Y2 TIEOFF TIEOFF[0,0] TIEOFF_X30Y2 |
INT_R INT_R_X29Y2 TIEOFF TIEOFF[0,0] TIEOFF_X31Y2 |
CLBLM_R CLBLM_R_X29Y2 SLICEM SLICE[0,0] SLICE_X42Y2 SLICEL SLICE[1,0] SLICE_X43Y2 |
VBRK VBRK_X118Y3 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y2 |
INT_L INT_L_X30Y2 TIEOFF TIEOFF[0,0] TIEOFF_X32Y2 |
INT_R INT_R_X31Y2 TIEOFF TIEOFF[0,0] TIEOFF_X33Y2 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y2 |
R_TERM_INT R_TERM_INT_X125Y3 |
RIOI3 RIOI3_X31Y1 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y1 OLOGICE3 OLOGIC[0,1] OLOGIC_X0Y2 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y1 ILOGICE3 ILOGIC[0,1] ILOGIC_X0Y2 IDELAYE2 IDELAY[0,0] IDELAY_X0Y1 IDELAYE2 IDELAY[0,1] IDELAY_X0Y2 |
RIOB33 RIOB33_X31Y1 IOB33S IOB[0,0] IOB_X0Y1 IOB33M IOB[0,1] IOB_X0Y2 |
|||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y1 |
INT_L INT_L_X0Y1 TIEOFF TIEOFF[0,0] TIEOFF_X0Y1 |
INT_R INT_R_X1Y1 TIEOFF TIEOFF[0,0] TIEOFF_X1Y1 |
CLBLM_R CLBLM_R_X1Y1 SLICEM SLICE[0,0] SLICE_X0Y1 SLICEL SLICE[1,0] SLICE_X1Y1 |
CLBLL_L CLBLL_L_X2Y1 SLICEL SLICE[0,0] SLICE_X2Y1 SLICEL SLICE[1,0] SLICE_X3Y1 |
INT_L INT_L_X2Y1 TIEOFF TIEOFF[0,0] TIEOFF_X2Y1 |
INT_R INT_R_X3Y1 TIEOFF TIEOFF[0,0] TIEOFF_X3Y1 |
CLBLM_R CLBLM_R_X3Y1 SLICEM SLICE[0,0] SLICE_X4Y1 SLICEL SLICE[1,0] SLICE_X5Y1 |
VBRK VBRK_X39Y2 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y1 |
INT_L INT_L_X4Y1 TIEOFF TIEOFF[0,0] TIEOFF_X4Y1 |
INT_R INT_R_X5Y1 TIEOFF TIEOFF[0,0] TIEOFF_X5Y1 |
CLBLM_R CLBLM_R_X5Y1 SLICEM SLICE[0,0] SLICE_X6Y1 SLICEL SLICE[1,0] SLICE_X7Y1 |
CLBLM_L CLBLM_L_X6Y1 SLICEM SLICE[0,0] SLICE_X8Y1 SLICEL SLICE[1,0] SLICE_X9Y1 |
INT_L INT_L_X6Y1 TIEOFF TIEOFF[0,0] TIEOFF_X6Y1 |
INT_R INT_R_X7Y1 TIEOFF TIEOFF[0,0] TIEOFF_X7Y1 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y1 |
VBRK VBRK_X50Y2 |
CLBLM_L CLBLM_L_X8Y1 SLICEM SLICE[0,0] SLICE_X10Y1 SLICEL SLICE[1,0] SLICE_X11Y1 |
INT_L INT_L_X8Y1 TIEOFF TIEOFF[0,0] TIEOFF_X9Y1 |
INT_R INT_R_X9Y1 TIEOFF TIEOFF[0,0] TIEOFF_X10Y1 |
CLBLM_R CLBLM_R_X9Y1 SLICEM SLICE[0,0] SLICE_X12Y1 SLICEL SLICE[1,0] SLICE_X13Y1 |
VBRK VBRK_X55Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y2 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y2 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y2 |
VFRAME VFRAME_X68Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y1 |
INT_L INT_L_X10Y1 TIEOFF TIEOFF[0,0] TIEOFF_X11Y1 |
INT_R INT_R_X11Y1 TIEOFF TIEOFF[0,0] TIEOFF_X12Y1 |
CLBLL_R CLBLL_R_X11Y1 SLICEL SLICE[0,0] SLICE_X14Y1 SLICEL SLICE[1,0] SLICE_X15Y1 |
CLBLM_L CLBLM_L_X12Y1 SLICEM SLICE[0,0] SLICE_X16Y1 SLICEL SLICE[1,0] SLICE_X17Y1 |
INT_L INT_L_X12Y1 TIEOFF TIEOFF[0,0] TIEOFF_X13Y1 |
INT_R INT_R_X13Y1 TIEOFF TIEOFF[0,0] TIEOFF_X14Y1 |
CLBLL_R CLBLL_R_X13Y1 SLICEL SLICE[0,0] SLICE_X18Y1 SLICEL SLICE[1,0] SLICE_X19Y1 |
VBRK VBRK_X77Y2 |
CLBLM_L CLBLM_L_X14Y1 SLICEM SLICE[0,0] SLICE_X20Y1 SLICEL SLICE[1,0] SLICE_X21Y1 |
INT_L INT_L_X14Y1 TIEOFF TIEOFF[0,0] TIEOFF_X15Y1 |
INT_R INT_R_X15Y1 TIEOFF TIEOFF[0,0] TIEOFF_X16Y1 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y1 |
VBRK VBRK_X83Y2 |
CLBLL_L CLBLL_L_X16Y1 SLICEL SLICE[0,0] SLICE_X22Y1 SLICEL SLICE[1,0] SLICE_X23Y1 |
INT_L INT_L_X16Y1 TIEOFF TIEOFF[0,0] TIEOFF_X17Y1 |
INT_R INT_R_X17Y1 TIEOFF TIEOFF[0,0] TIEOFF_X18Y1 |
CLBLM_R CLBLM_R_X17Y1 SLICEM SLICE[0,0] SLICE_X24Y1 SLICEL SLICE[1,0] SLICE_X25Y1 |
VBRK VBRK_X88Y2 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y1 |
INT_L INT_L_X18Y1 TIEOFF TIEOFF[0,0] TIEOFF_X19Y1 |
INT_R INT_R_X19Y1 TIEOFF TIEOFF[0,0] TIEOFF_X20Y1 |
CLBLL_R CLBLL_R_X19Y1 SLICEL SLICE[0,0] SLICE_X26Y1 SLICEL SLICE[1,0] SLICE_X27Y1 |
CLBLM_L CLBLM_L_X20Y1 SLICEM SLICE[0,0] SLICE_X28Y1 SLICEL SLICE[1,0] SLICE_X29Y1 |
INT_L INT_L_X20Y1 TIEOFF TIEOFF[0,0] TIEOFF_X21Y1 |
INT_R INT_R_X21Y1 TIEOFF TIEOFF[0,0] TIEOFF_X22Y1 |
CLBLM_R CLBLM_R_X21Y1 SLICEM SLICE[0,0] SLICE_X30Y1 SLICEL SLICE[1,0] SLICE_X31Y1 |
VBRK VBRK_X98Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y1 |
INT_L INT_L_X22Y1 TIEOFF TIEOFF[0,0] TIEOFF_X24Y1 |
INT_R INT_R_X23Y1 TIEOFF TIEOFF[0,0] TIEOFF_X25Y1 |
CLBLM_R CLBLM_R_X23Y1 SLICEM SLICE[0,0] SLICE_X32Y1 SLICEL SLICE[1,0] SLICE_X33Y1 |
CLBLM_L CLBLM_L_X24Y1 SLICEM SLICE[0,0] SLICE_X34Y1 SLICEL SLICE[1,0] SLICE_X35Y1 |
INT_L INT_L_X24Y1 TIEOFF TIEOFF[0,0] TIEOFF_X26Y1 |
INT_R INT_R_X25Y1 TIEOFF TIEOFF[0,0] TIEOFF_X27Y1 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y1 |
VBRK VBRK_X109Y2 |
CLBLL_L CLBLL_L_X26Y1 SLICEL SLICE[0,0] SLICE_X36Y1 SLICEL SLICE[1,0] SLICE_X37Y1 |
INT_L INT_L_X26Y1 TIEOFF TIEOFF[0,0] TIEOFF_X28Y1 |
INT_R INT_R_X27Y1 TIEOFF TIEOFF[0,0] TIEOFF_X29Y1 |
CLBLM_R CLBLM_R_X27Y1 SLICEM SLICE[0,0] SLICE_X38Y1 SLICEL SLICE[1,0] SLICE_X39Y1 |
CLBLL_L CLBLL_L_X28Y1 SLICEL SLICE[0,0] SLICE_X40Y1 SLICEL SLICE[1,0] SLICE_X41Y1 |
INT_L INT_L_X28Y1 TIEOFF TIEOFF[0,0] TIEOFF_X30Y1 |
INT_R INT_R_X29Y1 TIEOFF TIEOFF[0,0] TIEOFF_X31Y1 |
CLBLM_R CLBLM_R_X29Y1 SLICEM SLICE[0,0] SLICE_X42Y1 SLICEL SLICE[1,0] SLICE_X43Y1 |
VBRK VBRK_X118Y2 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y1 |
INT_L INT_L_X30Y1 TIEOFF TIEOFF[0,0] TIEOFF_X32Y1 |
INT_R INT_R_X31Y1 TIEOFF TIEOFF[0,0] TIEOFF_X33Y1 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y1 |
R_TERM_INT R_TERM_INT_X125Y2 |
|||||||||||||||||||||||||||||||||||||||||||
INT_INTERFACE_PSS_L INT_INTERFACE_PSS_L_X0Y0 |
INT_L INT_L_X0Y0 TIEOFF TIEOFF[0,0] TIEOFF_X0Y0 |
INT_R INT_R_X1Y0 TIEOFF TIEOFF[0,0] TIEOFF_X1Y0 |
CLBLM_R CLBLM_R_X1Y0 SLICEM SLICE[0,0] SLICE_X0Y0 SLICEL SLICE[1,0] SLICE_X1Y0 |
CLBLL_L CLBLL_L_X2Y0 SLICEL SLICE[0,0] SLICE_X2Y0 SLICEL SLICE[1,0] SLICE_X3Y0 |
INT_L INT_L_X2Y0 TIEOFF TIEOFF[0,0] TIEOFF_X2Y0 |
INT_R INT_R_X3Y0 TIEOFF TIEOFF[0,0] TIEOFF_X3Y0 |
CLBLM_R CLBLM_R_X3Y0 SLICEM SLICE[0,0] SLICE_X4Y0 SLICEL SLICE[1,0] SLICE_X5Y0 |
VBRK VBRK_X39Y1 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X4Y0 |
INT_L INT_L_X4Y0 TIEOFF TIEOFF[0,0] TIEOFF_X4Y0 |
INT_R INT_R_X5Y0 TIEOFF TIEOFF[0,0] TIEOFF_X5Y0 |
CLBLM_R CLBLM_R_X5Y0 SLICEM SLICE[0,0] SLICE_X6Y0 SLICEL SLICE[1,0] SLICE_X7Y0 |
CLBLM_L CLBLM_L_X6Y0 SLICEM SLICE[0,0] SLICE_X8Y0 SLICEL SLICE[1,0] SLICE_X9Y0 |
INT_L INT_L_X6Y0 TIEOFF TIEOFF[0,0] TIEOFF_X6Y0 |
INT_R INT_R_X7Y0 TIEOFF TIEOFF[0,0] TIEOFF_X7Y0 |
INT_INTERFACE_R INT_INTERFACE_R_X7Y0 |
VBRK VBRK_X50Y1 |
CLBLM_L CLBLM_L_X8Y0 SLICEM SLICE[0,0] SLICE_X10Y0 SLICEL SLICE[1,0] SLICE_X11Y0 |
INT_L INT_L_X8Y0 TIEOFF TIEOFF[0,0] TIEOFF_X9Y0 |
INT_R INT_R_X9Y0 TIEOFF TIEOFF[0,0] TIEOFF_X10Y0 |
CLBLM_R CLBLM_R_X9Y0 SLICEM SLICE[0,0] SLICE_X12Y0 SLICEL SLICE[1,0] SLICE_X13Y0 |
VBRK VBRK_X55Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X56Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X57Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X58Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X59Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X60Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X61Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X62Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X63Y1 |
INT_FEEDTHRU_1 INT_FEEDTHRU_1_X64Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X65Y1 |
INT_FEEDTHRU_2 INT_FEEDTHRU_2_X66Y1 |
VFRAME VFRAME_X68Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X10Y0 |
INT_L INT_L_X10Y0 TIEOFF TIEOFF[0,0] TIEOFF_X11Y0 |
INT_R INT_R_X11Y0 TIEOFF TIEOFF[0,0] TIEOFF_X12Y0 |
CLBLL_R CLBLL_R_X11Y0 SLICEL SLICE[0,0] SLICE_X14Y0 SLICEL SLICE[1,0] SLICE_X15Y0 |
CLBLM_L CLBLM_L_X12Y0 SLICEM SLICE[0,0] SLICE_X16Y0 SLICEL SLICE[1,0] SLICE_X17Y0 |
INT_L INT_L_X12Y0 TIEOFF TIEOFF[0,0] TIEOFF_X13Y0 |
INT_R INT_R_X13Y0 TIEOFF TIEOFF[0,0] TIEOFF_X14Y0 |
CLBLL_R CLBLL_R_X13Y0 SLICEL SLICE[0,0] SLICE_X18Y0 SLICEL SLICE[1,0] SLICE_X19Y0 |
VBRK VBRK_X77Y1 |
CLBLM_L CLBLM_L_X14Y0 SLICEM SLICE[0,0] SLICE_X20Y0 SLICEL SLICE[1,0] SLICE_X21Y0 |
INT_L INT_L_X14Y0 TIEOFF TIEOFF[0,0] TIEOFF_X15Y0 |
INT_R INT_R_X15Y0 TIEOFF TIEOFF[0,0] TIEOFF_X16Y0 |
INT_INTERFACE_R INT_INTERFACE_R_X15Y0 |
CLK_FEED CLK_FEED_X82Y1 |
VBRK VBRK_X83Y1 |
CLBLL_L CLBLL_L_X16Y0 SLICEL SLICE[0,0] SLICE_X22Y0 SLICEL SLICE[1,0] SLICE_X23Y0 |
INT_L INT_L_X16Y0 TIEOFF TIEOFF[0,0] TIEOFF_X17Y0 |
INT_R INT_R_X17Y0 TIEOFF TIEOFF[0,0] TIEOFF_X18Y0 |
CLBLM_R CLBLM_R_X17Y0 SLICEM SLICE[0,0] SLICE_X24Y0 SLICEL SLICE[1,0] SLICE_X25Y0 |
VBRK VBRK_X88Y1 |
BRAM_INT_INTERFACE_L BRAM_INT_INTERFACE_L_X18Y0 |
INT_L INT_L_X18Y0 TIEOFF TIEOFF[0,0] TIEOFF_X19Y0 |
INT_R INT_R_X19Y0 TIEOFF TIEOFF[0,0] TIEOFF_X20Y0 |
CLBLL_R CLBLL_R_X19Y0 SLICEL SLICE[0,0] SLICE_X26Y0 SLICEL SLICE[1,0] SLICE_X27Y0 |
CLBLM_L CLBLM_L_X20Y0 SLICEM SLICE[0,0] SLICE_X28Y0 SLICEL SLICE[1,0] SLICE_X29Y0 |
INT_L INT_L_X20Y0 TIEOFF TIEOFF[0,0] TIEOFF_X21Y0 |
INT_R INT_R_X21Y0 TIEOFF TIEOFF[0,0] TIEOFF_X22Y0 |
CLBLM_R CLBLM_R_X21Y0 SLICEM SLICE[0,0] SLICE_X30Y0 SLICEL SLICE[1,0] SLICE_X31Y0 |
VBRK VBRK_X98Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X22Y0 |
INT_L INT_L_X22Y0 TIEOFF TIEOFF[0,0] TIEOFF_X24Y0 |
INT_R INT_R_X23Y0 TIEOFF TIEOFF[0,0] TIEOFF_X25Y0 |
CLBLM_R CLBLM_R_X23Y0 SLICEM SLICE[0,0] SLICE_X32Y0 SLICEL SLICE[1,0] SLICE_X33Y0 |
CLBLM_L CLBLM_L_X24Y0 SLICEM SLICE[0,0] SLICE_X34Y0 SLICEL SLICE[1,0] SLICE_X35Y0 |
INT_L INT_L_X24Y0 TIEOFF TIEOFF[0,0] TIEOFF_X26Y0 |
INT_R INT_R_X25Y0 TIEOFF TIEOFF[0,0] TIEOFF_X27Y0 |
BRAM_INT_INTERFACE_R BRAM_INT_INTERFACE_R_X25Y0 |
VBRK VBRK_X109Y1 |
CLBLL_L CLBLL_L_X26Y0 SLICEL SLICE[0,0] SLICE_X36Y0 SLICEL SLICE[1,0] SLICE_X37Y0 |
INT_L INT_L_X26Y0 TIEOFF TIEOFF[0,0] TIEOFF_X28Y0 |
INT_R INT_R_X27Y0 TIEOFF TIEOFF[0,0] TIEOFF_X29Y0 |
CLBLM_R CLBLM_R_X27Y0 SLICEM SLICE[0,0] SLICE_X38Y0 SLICEL SLICE[1,0] SLICE_X39Y0 |
CLBLL_L CLBLL_L_X28Y0 SLICEL SLICE[0,0] SLICE_X40Y0 SLICEL SLICE[1,0] SLICE_X41Y0 |
INT_L INT_L_X28Y0 TIEOFF TIEOFF[0,0] TIEOFF_X30Y0 |
INT_R INT_R_X29Y0 TIEOFF TIEOFF[0,0] TIEOFF_X31Y0 |
CLBLM_R CLBLM_R_X29Y0 SLICEM SLICE[0,0] SLICE_X42Y0 SLICEL SLICE[1,0] SLICE_X43Y0 |
VBRK VBRK_X118Y1 |
CMT_PMV_L CMT_PMV_L_X120Y1 |
INT_INTERFACE_L INT_INTERFACE_L_X30Y0 |
INT_L INT_L_X30Y0 TIEOFF TIEOFF[0,0] TIEOFF_X32Y0 |
INT_R INT_R_X31Y0 TIEOFF TIEOFF[0,0] TIEOFF_X33Y0 |
IO_INT_INTERFACE_R IO_INT_INTERFACE_R_X31Y0 |
R_TERM_INT R_TERM_INT_X125Y1 |
RIOI3_SING RIOI3_SING_X31Y0 OLOGICE3 OLOGIC[0,0] OLOGIC_X0Y0 ILOGICE3 ILOGIC[0,0] ILOGIC_X0Y0 IDELAYE2 IDELAY[0,0] IDELAY_X0Y0 |
RIOB33_SING RIOB33_SING_X31Y0 IOB33 IOB[0,0] IOB_X0Y0 |
|||||||||||||||||||||||||||||||||||||||
NULL NULL_X0Y0 |
B_TERM_VBRK B_TERM_VBRK_X1Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X2Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X3Y0 |
NULL NULL_X4Y0 |
NULL NULL_X5Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X6Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X7Y0 |
B_TERM_VBRK B_TERM_VBRK_X8Y0 |
NULL NULL_X9Y0 |
B_TERM_VBRK B_TERM_VBRK_X10Y0 |
NULL NULL_X11Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X12Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X13Y0 |
NULL NULL_X14Y0 |
NULL NULL_X15Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X16Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X17Y0 |
NULL NULL_X18Y0 |
B_TERM_VBRK B_TERM_VBRK_X19Y0 |
NULL NULL_X20Y0 |
B_TERM_VBRK B_TERM_VBRK_X21Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X22Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X23Y0 |
NULL NULL_X24Y0 |
NULL NULL_X25Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X26Y0 |
B_TERM_INT_PSS B_TERM_INT_PSS_X27Y0 |
B_TERM_VBRK B_TERM_VBRK_X28Y0 |
NULL NULL_X29Y0 |
B_TERM_VBRK B_TERM_VBRK_X30Y0 |
NULL NULL_X31Y0 |
B_TERM_INT B_TERM_INT_X32Y0 |
B_TERM_INT B_TERM_INT_X33Y0 |
NULL NULL_X34Y0 |
NULL NULL_X35Y0 |
B_TERM_INT B_TERM_INT_X36Y0 |
B_TERM_INT B_TERM_INT_X37Y0 |
NULL NULL_X38Y0 |
NULL NULL_X39Y0 |
NULL NULL_X40Y0 |
NULL NULL_X41Y0 |
B_TERM_INT B_TERM_INT_X42Y0 |
B_TERM_INT B_TERM_INT_X43Y0 |
NULL NULL_X44Y0 |
NULL NULL_X45Y0 |
B_TERM_INT B_TERM_INT_X46Y0 |
B_TERM_INT B_TERM_INT_X47Y0 |
NULL NULL_X48Y0 |
NULL NULL_X49Y0 |
NULL NULL_X50Y0 |
NULL NULL_X51Y0 |
B_TERM_INT B_TERM_INT_X52Y0 |
B_TERM_INT B_TERM_INT_X53Y0 |
NULL NULL_X54Y0 |
NULL NULL_X55Y0 |
NULL NULL_X56Y0 |
NULL NULL_X57Y0 |
NULL NULL_X58Y0 |
NULL NULL_X59Y0 |
NULL NULL_X60Y0 |
NULL NULL_X61Y0 |
NULL NULL_X62Y0 |
NULL NULL_X63Y0 |
NULL NULL_X64Y0 |
NULL NULL_X65Y0 |
NULL NULL_X66Y0 |
NULL NULL_X67Y0 |
NULL NULL_X68Y0 |
NULL NULL_X69Y0 |
B_TERM_INT B_TERM_INT_X70Y0 |
B_TERM_INT B_TERM_INT_X71Y0 |
NULL NULL_X72Y0 |
NULL NULL_X73Y0 |
B_TERM_INT B_TERM_INT_X74Y0 |
B_TERM_INT B_TERM_INT_X75Y0 |
NULL NULL_X76Y0 |
NULL NULL_X77Y0 |
NULL NULL_X78Y0 |
B_TERM_INT B_TERM_INT_X79Y0 |
B_TERM_INT B_TERM_INT_X80Y0 |
NULL NULL_X81Y0 |
CLK_TERM CLK_TERM_X82Y0 |
NULL NULL_X83Y0 |
NULL NULL_X84Y0 |
B_TERM_INT B_TERM_INT_X85Y0 |
B_TERM_INT B_TERM_INT_X86Y0 |
NULL NULL_X87Y0 |
NULL NULL_X88Y0 |
NULL NULL_X89Y0 |
NULL NULL_X90Y0 |
B_TERM_INT B_TERM_INT_X91Y0 |
B_TERM_INT B_TERM_INT_X92Y0 |
NULL NULL_X93Y0 |
NULL NULL_X94Y0 |
B_TERM_INT B_TERM_INT_X95Y0 |
B_TERM_INT B_TERM_INT_X96Y0 |
NULL NULL_X97Y0 |
NULL NULL_X98Y0 |
NULL NULL_X99Y0 |
NULL NULL_X100Y0 |
B_TERM_INT B_TERM_INT_X101Y0 |
B_TERM_INT B_TERM_INT_X102Y0 |
NULL NULL_X103Y0 |
NULL NULL_X104Y0 |
B_TERM_INT B_TERM_INT_X105Y0 |
B_TERM_INT B_TERM_INT_X106Y0 |
NULL NULL_X107Y0 |
NULL NULL_X108Y0 |
NULL NULL_X109Y0 |
NULL NULL_X110Y0 |
B_TERM_INT B_TERM_INT_X111Y0 |
B_TERM_INT B_TERM_INT_X112Y0 |
NULL NULL_X113Y0 |
NULL NULL_X114Y0 |
B_TERM_INT B_TERM_INT_X115Y0 |
B_TERM_INT B_TERM_INT_X116Y0 |
NULL NULL_X117Y0 |
NULL NULL_X118Y0 |
TERM_CMT TERM_CMT_X119Y0 |
NULL NULL_X120Y0 |
NULL NULL_X121Y0 |
B_TERM_INT B_TERM_INT_X122Y0 |
B_TERM_INT B_TERM_INT_X123Y0 |
NULL NULL_X124Y0 |
NULL NULL_X125Y0 |
NULL NULL_X126Y0 |
NULL NULL_X127Y0 |
|