NULL
NULL_X0Y140
NULL
NULL_X1Y140
NULL
NULL_X2Y140
NULL
NULL_X3Y140
NULL
NULL_X4Y140
TIOB
TIOB_X1Y127
IOBM C3
IOBS D3
IOBM D4
IOBS D5
NULL
NULL_X6Y140
TIOB
TIOB_X2Y127
IOBM B2
IOBS A2
IOBM E5
IOBS E6
NULL
NULL_X8Y140
RAMB_TOP
RAMB_TOP_X3Y127
BRAM_INT_INTERFACE_TOP
BRAM_INT_INTERFACE_TOP_X3Y127
BRAM_TOP
BRAM_TOP_X3Y127
TIOB
TIOB_X4Y127
IOBM B3
IOBS A3
IOBM C4
IOBS A4
NULL
NULL_X13Y140
TIOB
TIOB_X5Y127
IOBM F7
IOBS F8
IOBM C5
IOBS A5
NULL
NULL_X15Y140
DSP_INT_EMP_TOP
DSP_INT_EMP_TOP_X15Y140
DSP_EMP_TEMP
DSP_EMP_TEMP_X15Y140
DSP_EMP_TOP
DSP_EMP_TOP_X15Y140
NULL
NULL_X19Y140
NULL
NULL_X20Y140
NULL
NULL_X21Y140
NULL
NULL_X22Y140
NULL
NULL_X23Y140
NULL
NULL_X24Y140
NULL
NULL_X25Y140
NULL
NULL_X26Y140
NULL
NULL_X27Y140
NULL
NULL_X28Y140
RAMB_TOP
RAMB_TOP_X28Y140
BRAM_INT_INTERFACE_TOP
BRAM_INT_INTERFACE_TOP_X28Y140
BRAM_TOP
BRAM_TOP_X28Y140
NULL
NULL_X32Y140
NULL
NULL_X33Y140
NULL
NULL_X34Y140
NULL
NULL_X35Y140
NULL
NULL_X36Y140
NULL
NULL_X37Y140
NULL
NULL_X38Y140
NULL
NULL_X39Y140
TIOB
TIOB_X17Y127
IOBM G8
IOBS F9
IOBM H10
IOBS H11
NULL
NULL_X41Y140
TIOB
TIOB_X18Y127
IOBM G9
IOBS F10
IOBM H12
IOBS G11
NULL
NULL_X43Y140
REG_T
REG_T_X43Y140
BUFIO2FB BUFIO2FB_X2Y28
BUFIO2 BUFIO2_X2Y29
BUFIO2 BUFIO2_X2Y28
BUFIO2FB BUFIO2FB_X2Y29
BUFIO2 BUFIO2_X2Y27
BUFIO2 BUFIO2_X2Y26
BUFIO2FB BUFIO2FB_X2Y27
BUFIO2FB BUFIO2FB_X2Y26
TIEOFF TIEOFF_X32Y255
BUFIO2 BUFIO2_X4Y29
BUFIO2 BUFIO2_X4Y28
BUFIO2FB BUFIO2FB_X4Y28
BUFIO2FB BUFIO2FB_X4Y29
BUFIO2FB BUFIO2FB_X4Y27
BUFIO2 BUFIO2_X4Y27
BUFIO2FB BUFIO2FB_X4Y26
BUFIO2 BUFIO2_X4Y26
BUFPLL BUFPLL_X1Y5
BUFPLL BUFPLL_X1Y4
BUFPLL_MCB BUFPLL_MCB_X1Y9
NULL
NULL_X45Y140
TIOB
TIOB_X19Y127
IOBM F14
IOBS F15
IOBM E16
IOBS F16
NULL
NULL_X47Y140
TIOB_SINGLE
TIOB_SINGLE_X20Y127
IOBM H13
IOBS G13
NULL
NULL_X49Y140
NULL
NULL_X50Y140
NULL
NULL_X51Y140
NULL
NULL_X52Y140
NULL
NULL_X53Y140
NULL
NULL_X54Y140
NULL
NULL_X55Y140
NULL
NULL_X56Y140
NULL
NULL_X57Y140
RAMB_TOP
RAMB_TOP_X57Y140
BRAM_INT_INTERFACE_TOP
BRAM_INT_INTERFACE_TOP_X57Y140
BRAM_TOP
BRAM_TOP_X57Y140
NULL
NULL_X61Y140
NULL
NULL_X62Y140
NULL
NULL_X63Y140
NULL
NULL_X64Y140
NULL
NULL_X65Y140
NULL
NULL_X66Y140
NULL
NULL_X67Y140
NULL
NULL_X68Y140
NULL
NULL_X69Y140
NULL
NULL_X70Y140
DSP_INT_EMP_TOP
DSP_INT_EMP_TOP_X70Y140
DSP_EMP_TEMP
DSP_EMP_TEMP_X70Y140
DSP_EMP_TOP
DSP_EMP_TOP_X70Y140
TIOB
TIOB_X32Y127
IOBM H14
IOBS G15
IOBM C17
IOBS A17
NULL
NULL_X75Y140
TIOB
TIOB_X33Y127
IOBM G16
IOBS F17
IOBM D18
IOBS D19
NULL
NULL_X77Y140
RAMB_TOP
RAMB_TOP_X34Y127
BRAM_INT_INTERFACE_TOP
BRAM_INT_INTERFACE_TOP_X34Y127
BRAM_TOP
BRAM_TOP_X34Y127
TIOB
TIOB_X35Y127
IOBM B18
IOBS A18
IOBM C19
IOBS A19
NULL
NULL_X82Y140
TIOB
TIOB_X36Y127
IOBM B20
IOBS A20
IOBM D17
IOBS C18
NULL
NULL_X84Y140
NULL
NULL_X85Y140
NULL
NULL_X86Y140
NULL
NULL_X87Y140
NULL
NULL_X88Y140
NULL
NULL_X89Y140
NULL
NULL_X0Y139
NULL
NULL_X1Y139
CNR_TR_TTERM
CNR_TR_TTERM_X2Y139
IOI_PCI_CE_LEFT
IOI_PCI_CE_LEFT_X2Y139
MCB_CNR_TOP
MCB_CNR_TOP_X2Y139
IOI_TTERM
IOI_TTERM_X5Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X6Y139
IOI_TTERM
IOI_TTERM_X7Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X8Y139
RAMB_TOP_TTERM
RAMB_TOP_TTERM_X9Y139
BRAM_INTER_TTERM
BRAM_INTER_TTERM_X10Y139
BRAM_TOP_TTERM_L
BRAM_TOP_TTERM_L_X11Y139
IOI_TTERM
IOI_TTERM_X12Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X13Y139
IOI_TTERM
IOI_TTERM_X14Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X15Y139
DSP_INT_TTERM
DSP_INT_TTERM_X16Y139
DSP_INTER_TTERM
DSP_INTER_TTERM_X17Y139
DSP_TOP_TTERM_L
DSP_TOP_TTERM_L_X18Y139
IOI_TTERM
IOI_TTERM_X19Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X20Y139
IOI_TTERM
IOI_TTERM_X21Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X22Y139
IOI_TTERM
IOI_TTERM_X23Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X24Y139
IOI_TTERM
IOI_TTERM_X25Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X26Y139
IOI_TTERM
IOI_TTERM_X27Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X28Y139
RAMB_TOP_TTERM
RAMB_TOP_TTERM_X29Y139
BRAM_INTER_TTERM
BRAM_INTER_TTERM_X30Y139
BRAM_TOP_TTERM_L
BRAM_TOP_TTERM_L_X31Y139
IOI_TTERM
IOI_TTERM_X32Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X33Y139
IOI_TTERM
IOI_TTERM_X34Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X35Y139
IOI_TTERM
IOI_TTERM_X36Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X37Y139
IOI_TTERM
IOI_TTERM_X38Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X39Y139
IOI_TTERM
IOI_TTERM_X40Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X41Y139
IOI_TTERM
IOI_TTERM_X42Y139
IOI_TTERM_REGT
IOI_TTERM_REGT_X43Y139
REG_T_TTERM
REG_T_TTERM_X44Y139
REG_V_TTERM
REG_V_TTERM_X45Y139
IOI_TTERM_BUFPLL
IOI_TTERM_BUFPLL_X46Y139
IOI_TTERM_REGT
IOI_TTERM_REGT_X47Y139
IOI_TTERM
IOI_TTERM_X48Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X49Y139
IOI_TTERM
IOI_TTERM_X50Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X51Y139
IOI_TTERM
IOI_TTERM_X52Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X53Y139
IOI_TTERM
IOI_TTERM_X54Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X55Y139
IOI_TTERM
IOI_TTERM_X56Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X57Y139
RAMB_TOP_TTERM
RAMB_TOP_TTERM_X58Y139
BRAM_INTER_TTERM
BRAM_INTER_TTERM_X59Y139
BRAM_TOP_TTERM_R
BRAM_TOP_TTERM_R_X60Y139
IOI_TTERM
IOI_TTERM_X61Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X62Y139
IOI_TTERM
IOI_TTERM_X63Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X64Y139
IOI_TTERM
IOI_TTERM_X65Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X66Y139
IOI_TTERM
IOI_TTERM_X67Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X68Y139
IOI_TTERM
IOI_TTERM_X69Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X70Y139
DSP_INT_TTERM
DSP_INT_TTERM_X71Y139
DSP_INTER_TTERM
DSP_INTER_TTERM_X72Y139
DSP_TOP_TTERM_R
DSP_TOP_TTERM_R_X73Y139
IOI_TTERM
IOI_TTERM_X74Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X75Y139
IOI_TTERM
IOI_TTERM_X76Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X77Y139
RAMB_TOP_TTERM
RAMB_TOP_TTERM_X78Y139
BRAM_INTER_TTERM
BRAM_INTER_TTERM_X79Y139
BRAM_TOP_TTERM_R
BRAM_TOP_TTERM_R_X80Y139
IOI_TTERM
IOI_TTERM_X81Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X82Y139
IOI_TTERM
IOI_TTERM_X83Y139
IOI_TTERM_CLB
IOI_TTERM_CLB_X84Y139
CNR_TR_TTERM
CNR_TR_TTERM_X85Y139
IOI_PCI_CE_RIGHT
IOI_PCI_CE_RIGHT_X85Y139
MCB_CNR_TOP
MCB_CNR_TOP_X85Y139
NULL
NULL_X88Y139
NULL
NULL_X89Y139
NULL
NULL_X0Y138
CNR_TL_LTERM
CNR_TL_LTERM_X1Y138
INT
INT_X0Y127
TIEOFF TIEOFF_X0Y254
UL
UL_X0Y127
DNA_PORT DNA_PORT
PMV PMV
OCT_CALIBRATE OCT_CAL_X0Y2
OCT_CALIBRATE OCT_CAL_X0Y3
MCB_INT
MCB_INT_X0Y127
IOI_INT
IOI_INT_X1Y127
TIEOFF TIEOFF_X2Y254
TIOI_OUTER
TIOI_OUTER_X1Y127
OLOGIC2 OLOGIC_X1Y118
IODELAY2 IODELAY_X1Y118
ILOGIC2 ILOGIC_X1Y118
OLOGIC2 OLOGIC_X1Y119
IODELAY2 IODELAY_X1Y119
ILOGIC2 ILOGIC_X1Y119
TIEOFF TIEOFF_X3Y254
IOI_INT
IOI_INT_X2Y127
TIEOFF TIEOFF_X4Y254
TIOI_OUTER
TIOI_OUTER_X2Y127
OLOGIC2 OLOGIC_X2Y118
IODELAY2 IODELAY_X2Y118
ILOGIC2 ILOGIC_X2Y118
OLOGIC2 OLOGIC_X2Y119
IODELAY2 IODELAY_X2Y119
ILOGIC2 ILOGIC_X2Y119
TIEOFF TIEOFF_X5Y254
INT_BRAM
INT_BRAM_X3Y127
TIEOFF TIEOFF_X6Y254
INT_INTERFACE
INT_INTERFACE_X3Y127
NULL
NULL_X11Y138
IOI_INT
IOI_INT_X4Y127
TIEOFF TIEOFF_X7Y254
TIOI_OUTER
TIOI_OUTER_X4Y127
OLOGIC2 OLOGIC_X3Y118
IODELAY2 IODELAY_X3Y118
ILOGIC2 ILOGIC_X3Y118
OLOGIC2 OLOGIC_X3Y119
IODELAY2 IODELAY_X3Y119
ILOGIC2 ILOGIC_X3Y119
TIEOFF TIEOFF_X8Y254
IOI_INT
IOI_INT_X5Y127
TIEOFF TIEOFF_X9Y254
TIOI_OUTER
TIOI_OUTER_X5Y127
OLOGIC2 OLOGIC_X4Y118
IODELAY2 IODELAY_X4Y118
ILOGIC2 ILOGIC_X4Y118
OLOGIC2 OLOGIC_X4Y119
IODELAY2 IODELAY_X4Y119
ILOGIC2 ILOGIC_X4Y119
TIEOFF TIEOFF_X10Y254
NULL
NULL_X16Y138
NULL
NULL_X17Y138
NULL
NULL_X18Y138
NULL
NULL_X19Y138
INT_RTERM
INT_RTERM_X20Y138
NULL
NULL_X21Y138
NULL
NULL_X22Y138
NULL
NULL_X23Y138
NULL
NULL_X24Y138
NULL
NULL_X25Y138
NULL
NULL_X26Y138
NULL
NULL_X27Y138
NULL
NULL_X28Y138
NULL
NULL_X29Y138
NULL
NULL_X30Y138
NULL
NULL_X31Y138
NULL
NULL_X32Y138
NULL
NULL_X33Y138
NULL
NULL_X34Y138
NULL
NULL_X35Y138
NULL
NULL_X36Y138
INT_LTERM
INT_LTERM_X37Y138
NULL
NULL_X38Y138
INT_LTERM
INT_LTERM_X39Y138
IOI_INT
IOI_INT_X17Y127
TIEOFF TIEOFF_X29Y254
TIOI_OUTER
TIOI_OUTER_X17Y127
OLOGIC2 OLOGIC_X12Y118
IODELAY2 IODELAY_X12Y118
ILOGIC2 ILOGIC_X12Y118
OLOGIC2 OLOGIC_X12Y119
IODELAY2 IODELAY_X12Y119
ILOGIC2 ILOGIC_X12Y119
TIEOFF TIEOFF_X30Y254
IOI_INT
IOI_INT_X18Y127
TIEOFF TIEOFF_X31Y254
TIOI_OUTER
TIOI_OUTER_X18Y127
OLOGIC2 OLOGIC_X13Y118
IODELAY2 IODELAY_X13Y118
ILOGIC2 ILOGIC_X13Y118
OLOGIC2 OLOGIC_X13Y119
IODELAY2 IODELAY_X13Y119
ILOGIC2 ILOGIC_X13Y119
TIEOFF TIEOFF_X32Y254
NULL
NULL_X44Y138
REG_V
REG_V_X18Y127
IOI_INT
IOI_INT_X19Y127
TIEOFF TIEOFF_X34Y254
TIOI_OUTER
TIOI_OUTER_X19Y127
OLOGIC2 OLOGIC_X14Y118
IODELAY2 IODELAY_X14Y118
ILOGIC2 ILOGIC_X14Y118
OLOGIC2 OLOGIC_X14Y119
IODELAY2 IODELAY_X14Y119
ILOGIC2 ILOGIC_X14Y119
TIEOFF TIEOFF_X35Y254
IOI_INT
IOI_INT_X20Y127
TIEOFF TIEOFF_X36Y254
TIOI_OUTER
TIOI_OUTER_X20Y127
OLOGIC2 OLOGIC_X15Y118
IODELAY2 IODELAY_X15Y118
ILOGIC2 ILOGIC_X15Y118
OLOGIC2 OLOGIC_X15Y119
IODELAY2 IODELAY_X15Y119
ILOGIC2 ILOGIC_X15Y119
TIEOFF TIEOFF_X37Y254
NULL
NULL_X50Y138
NULL
NULL_X51Y138
NULL
NULL_X52Y138
INT_RTERM
INT_RTERM_X53Y138
NULL
NULL_X54Y138
NULL
NULL_X55Y138
NULL
NULL_X56Y138
NULL
NULL_X57Y138
NULL
NULL_X58Y138
NULL
NULL_X59Y138
NULL
NULL_X60Y138
NULL
NULL_X61Y138
NULL
NULL_X62Y138
NULL
NULL_X63Y138
NULL
NULL_X64Y138
NULL
NULL_X65Y138
NULL
NULL_X66Y138
NULL
NULL_X67Y138
NULL
NULL_X68Y138
NULL
NULL_X69Y138
NULL
NULL_X70Y138
NULL
NULL_X71Y138
INT_LTERM
INT_LTERM_X72Y138
NULL
NULL_X73Y138
IOI_INT
IOI_INT_X32Y127
TIEOFF TIEOFF_X56Y254
TIOI_OUTER
TIOI_OUTER_X32Y127
OLOGIC2 OLOGIC_X23Y118
IODELAY2 IODELAY_X23Y118
ILOGIC2 ILOGIC_X23Y118
OLOGIC2 OLOGIC_X23Y119
IODELAY2 IODELAY_X23Y119
ILOGIC2 ILOGIC_X23Y119
TIEOFF TIEOFF_X57Y254
IOI_INT
IOI_INT_X33Y127
TIEOFF TIEOFF_X58Y254
TIOI_OUTER
TIOI_OUTER_X33Y127
OLOGIC2 OLOGIC_X24Y118
IODELAY2 IODELAY_X24Y118
ILOGIC2 ILOGIC_X24Y118
OLOGIC2 OLOGIC_X24Y119
IODELAY2 IODELAY_X24Y119
ILOGIC2 ILOGIC_X24Y119
TIEOFF TIEOFF_X59Y254
INT_BRAM
INT_BRAM_X34Y127
TIEOFF TIEOFF_X60Y254
INT_INTERFACE
INT_INTERFACE_X34Y127
NULL
NULL_X80Y138
IOI_INT
IOI_INT_X35Y127
TIEOFF TIEOFF_X61Y254
TIOI_OUTER
TIOI_OUTER_X35Y127
OLOGIC2 OLOGIC_X25Y118
IODELAY2 IODELAY_X25Y118
ILOGIC2 ILOGIC_X25Y118
OLOGIC2 OLOGIC_X25Y119
IODELAY2 IODELAY_X25Y119
ILOGIC2 ILOGIC_X25Y119
TIEOFF TIEOFF_X62Y254
IOI_INT
IOI_INT_X36Y127
TIEOFF TIEOFF_X63Y254
TIOI_OUTER
TIOI_OUTER_X36Y127
OLOGIC2 OLOGIC_X26Y118
IODELAY2 IODELAY_X26Y118
ILOGIC2 ILOGIC_X26Y118
OLOGIC2 OLOGIC_X26Y119
IODELAY2 IODELAY_X26Y119
ILOGIC2 ILOGIC_X26Y119
TIEOFF TIEOFF_X64Y254
INT
INT_X37Y127
TIEOFF TIEOFF_X65Y254
UR_UPPER
UR_UPPER_X37Y127
BSCAN BSCAN_X0Y0
BSCAN BSCAN_X0Y1
MCB_INT
MCB_INT_X37Y127
CNR_TR_RTERM
CNR_TR_RTERM_X88Y138
NULL
NULL_X89Y138
LIOB
LIOB_X0Y126
IOBM C1
IOBS B1
IOI_LTERM
IOI_LTERM_X1Y137
LIOI_INT
LIOI_INT_X0Y126
TIEOFF TIEOFF_X0Y252
LIOI
LIOI_X0Y126
OLOGIC2 OLOGIC_X0Y116
IODELAY2 IODELAY_X0Y116
ILOGIC2 ILOGIC_X0Y116
OLOGIC2 OLOGIC_X0Y117
IODELAY2 IODELAY_X0Y117
ILOGIC2 ILOGIC_X0Y117
TIEOFF TIEOFF_X1Y252
MCB_CAP_INT
MCB_CAP_INT_X0Y126
IOI_INT
IOI_INT_X1Y126
TIEOFF TIEOFF_X2Y252
TIOI_INNER
TIOI_INNER_X1Y126
OLOGIC2 OLOGIC_X1Y116
IODELAY2 IODELAY_X1Y116
ILOGIC2 ILOGIC_X1Y116
OLOGIC2 OLOGIC_X1Y117
IODELAY2 IODELAY_X1Y117
ILOGIC2 ILOGIC_X1Y117
TIEOFF TIEOFF_X3Y252
IOI_INT
IOI_INT_X2Y126
TIEOFF TIEOFF_X4Y252
TIOI_INNER
TIOI_INNER_X2Y126
OLOGIC2 OLOGIC_X2Y116
IODELAY2 IODELAY_X2Y116
ILOGIC2 ILOGIC_X2Y116
OLOGIC2 OLOGIC_X2Y117
IODELAY2 IODELAY_X2Y117
ILOGIC2 ILOGIC_X2Y117
TIEOFF TIEOFF_X5Y252
INT_BRAM
INT_BRAM_X3Y126
TIEOFF TIEOFF_X6Y252
INT_INTERFACE
INT_INTERFACE_X3Y126
NULL
NULL_X11Y137
IOI_INT
IOI_INT_X4Y126
TIEOFF TIEOFF_X7Y252
TIOI_INNER
TIOI_INNER_X4Y126
OLOGIC2 OLOGIC_X3Y116
IODELAY2 IODELAY_X3Y116
ILOGIC2 ILOGIC_X3Y116
OLOGIC2 OLOGIC_X3Y117
IODELAY2 IODELAY_X3Y117
ILOGIC2 ILOGIC_X3Y117
TIEOFF TIEOFF_X8Y252
IOI_INT
IOI_INT_X5Y126
TIEOFF TIEOFF_X9Y252
TIOI_INNER
TIOI_INNER_X5Y126
OLOGIC2 OLOGIC_X4Y116
IODELAY2 IODELAY_X4Y116
ILOGIC2 ILOGIC_X4Y116
OLOGIC2 OLOGIC_X4Y117
IODELAY2 IODELAY_X4Y117
ILOGIC2 ILOGIC_X4Y117
TIEOFF TIEOFF_X10Y252
NULL
NULL_X16Y137
NULL
NULL_X17Y137
NULL
NULL_X18Y137
NULL
NULL_X19Y137
INT_RTERM
INT_RTERM_X20Y137
NULL
NULL_X21Y137
NULL
NULL_X22Y137
NULL
NULL_X23Y137
NULL
NULL_X24Y137
NULL
NULL_X25Y137
NULL
NULL_X26Y137
NULL
NULL_X27Y137
NULL
NULL_X28Y137
NULL
NULL_X29Y137
NULL
NULL_X30Y137
NULL
NULL_X31Y137
NULL
NULL_X32Y137
NULL
NULL_X33Y137
NULL
NULL_X34Y137
NULL
NULL_X35Y137
NULL
NULL_X36Y137
INT_LTERM
INT_LTERM_X37Y137
NULL
NULL_X38Y137
INT_LTERM
INT_LTERM_X39Y137
IOI_INT
IOI_INT_X17Y126
TIEOFF TIEOFF_X29Y252
TIOI_INNER
TIOI_INNER_X17Y126
OLOGIC2 OLOGIC_X12Y116
IODELAY2 IODELAY_X12Y116
ILOGIC2 ILOGIC_X12Y116
OLOGIC2 OLOGIC_X12Y117
IODELAY2 IODELAY_X12Y117
ILOGIC2 ILOGIC_X12Y117
TIEOFF TIEOFF_X30Y252
IOI_INT
IOI_INT_X18Y126
TIEOFF TIEOFF_X31Y252
TIOI_INNER
TIOI_INNER_X18Y126
OLOGIC2 OLOGIC_X13Y116
IODELAY2 IODELAY_X13Y116
ILOGIC2 ILOGIC_X13Y116
OLOGIC2 OLOGIC_X13Y117
IODELAY2 IODELAY_X13Y117
ILOGIC2 ILOGIC_X13Y117
TIEOFF TIEOFF_X32Y252
NULL
NULL_X44Y137
REG_V
REG_V_X18Y126
IOI_INT
IOI_INT_X19Y126
TIEOFF TIEOFF_X34Y252
TIOI_INNER
TIOI_INNER_X19Y126
OLOGIC2 OLOGIC_X14Y116
IODELAY2 IODELAY_X14Y116
ILOGIC2 ILOGIC_X14Y116
OLOGIC2 OLOGIC_X14Y117
IODELAY2 IODELAY_X14Y117
ILOGIC2 ILOGIC_X14Y117
TIEOFF TIEOFF_X35Y252
IOI_INT
IOI_INT_X20Y126
TIEOFF TIEOFF_X36Y252
TIOI_INNER_UNUSED
TIOI_INNER_UNUSED_X20Y126
OLOGIC2 OLOGIC_X15Y116
IODELAY2 IODELAY_X15Y116
ILOGIC2 ILOGIC_X15Y116
OLOGIC2 OLOGIC_X15Y117
IODELAY2 IODELAY_X15Y117
ILOGIC2 ILOGIC_X15Y117
TIEOFF TIEOFF_X37Y252
NULL
NULL_X50Y137
NULL
NULL_X51Y137
NULL
NULL_X52Y137
INT_RTERM
INT_RTERM_X53Y137
NULL
NULL_X54Y137
NULL
NULL_X55Y137
NULL
NULL_X56Y137
NULL
NULL_X57Y137
NULL
NULL_X58Y137
NULL
NULL_X59Y137
NULL
NULL_X60Y137
NULL
NULL_X61Y137
NULL
NULL_X62Y137
NULL
NULL_X63Y137
NULL
NULL_X64Y137
NULL
NULL_X65Y137
NULL
NULL_X66Y137
NULL
NULL_X67Y137
NULL
NULL_X68Y137
NULL
NULL_X69Y137
NULL
NULL_X70Y137
NULL
NULL_X71Y137
INT_LTERM
INT_LTERM_X72Y137
NULL
NULL_X73Y137
IOI_INT
IOI_INT_X32Y126
TIEOFF TIEOFF_X56Y252
TIOI_INNER
TIOI_INNER_X32Y126
OLOGIC2 OLOGIC_X23Y116
IODELAY2 IODELAY_X23Y116
ILOGIC2 ILOGIC_X23Y116
OLOGIC2 OLOGIC_X23Y117
IODELAY2 IODELAY_X23Y117
ILOGIC2 ILOGIC_X23Y117
TIEOFF TIEOFF_X57Y252
IOI_INT
IOI_INT_X33Y126
TIEOFF TIEOFF_X58Y252
TIOI_INNER
TIOI_INNER_X33Y126
OLOGIC2 OLOGIC_X24Y116
IODELAY2 IODELAY_X24Y116
ILOGIC2 ILOGIC_X24Y116
OLOGIC2 OLOGIC_X24Y117
IODELAY2 IODELAY_X24Y117
ILOGIC2 ILOGIC_X24Y117
TIEOFF TIEOFF_X59Y252
INT_BRAM
INT_BRAM_X34Y126
TIEOFF TIEOFF_X60Y252
INT_INTERFACE
INT_INTERFACE_X34Y126
NULL
NULL_X80Y137
IOI_INT
IOI_INT_X35Y126
TIEOFF TIEOFF_X61Y252
TIOI_INNER
TIOI_INNER_X35Y126
OLOGIC2 OLOGIC_X25Y116
IODELAY2 IODELAY_X25Y116
ILOGIC2 ILOGIC_X25Y116
OLOGIC2 OLOGIC_X25Y117
IODELAY2 IODELAY_X25Y117
ILOGIC2 ILOGIC_X25Y117
TIEOFF TIEOFF_X62Y252
IOI_INT
IOI_INT_X36Y126
TIEOFF TIEOFF_X63Y252
TIOI_INNER
TIOI_INNER_X36Y126
OLOGIC2 OLOGIC_X26Y116
IODELAY2 IODELAY_X26Y116
ILOGIC2 ILOGIC_X26Y116
OLOGIC2 OLOGIC_X26Y117
IODELAY2 IODELAY_X26Y117
ILOGIC2 ILOGIC_X26Y117
TIEOFF TIEOFF_X64Y252
INT
INT_X37Y126
TIEOFF TIEOFF_X65Y252
UR_LOWER
UR_LOWER_X37Y126
BSCAN BSCAN_X0Y2
BSCAN BSCAN_X0Y3
OCT_CALIBRATE OCT_CAL_X1Y1
MCB_CAP_INT
MCB_CAP_INT_X37Y126
CNR_TR_RTERM
CNR_TR_RTERM_X88Y137
NULL
NULL_X89Y137
EMP_LIOB
EMP_LIOB_X0Y136
IOI_LTERM
IOI_LTERM_X1Y136
INT
INT_X0Y125
TIEOFF TIEOFF_X0Y250
INT_INTERFACE
INT_INTERFACE_X0Y125
MCB_CAP_INT
MCB_CAP_INT_X0Y125
INT
INT_X1Y125
TIEOFF TIEOFF_X2Y250
CLEXL
CLEXL_X1Y125
SLICEL SLICE_X0Y125
SLICEX SLICE_X1Y125
INT
INT_X2Y125
TIEOFF TIEOFF_X4Y250
CLEXM
CLEXM_X2Y125
SLICEM SLICE_X2Y125
SLICEX SLICE_X3Y125
INT_BRAM
INT_BRAM_X3Y125
TIEOFF TIEOFF_X6Y250
INT_INTERFACE
INT_INTERFACE_X3Y125
NULL
NULL_X11Y136
INT
INT_X4Y125
TIEOFF TIEOFF_X7Y250
CLEXL
CLEXL_X4Y125
SLICEL SLICE_X4Y125
SLICEX SLICE_X5Y125
INT
INT_X5Y125
TIEOFF TIEOFF_X9Y250
CLEXM
CLEXM_X5Y125
SLICEM SLICE_X6Y125
SLICEX SLICE_X7Y125
NULL
NULL_X16Y136
NULL
NULL_X17Y136
NULL
NULL_X18Y136
NULL
NULL_X19Y136
INT_RTERM
INT_RTERM_X20Y136
NULL
NULL_X21Y136
NULL
NULL_X22Y136
NULL
NULL_X23Y136
NULL
NULL_X24Y136
NULL
NULL_X25Y136
NULL
NULL_X26Y136
NULL
NULL_X27Y136
NULL
NULL_X28Y136
NULL
NULL_X29Y136
NULL
NULL_X30Y136
NULL
NULL_X31Y136
NULL
NULL_X32Y136
NULL
NULL_X33Y136
NULL
NULL_X34Y136
NULL
NULL_X35Y136
NULL
NULL_X36Y136
INT_LTERM
INT_LTERM_X37Y136
NULL
NULL_X38Y136
INT_LTERM
INT_LTERM_X39Y136
INT
INT_X17Y125
TIEOFF TIEOFF_X29Y250
CLEXM
CLEXM_X17Y125
SLICEM SLICE_X26Y125
SLICEX SLICE_X27Y125
INT
INT_X18Y125
TIEOFF TIEOFF_X31Y250
CLEXL
CLEXL_X18Y125
SLICEL SLICE_X28Y125
SLICEX SLICE_X29Y125
NULL
NULL_X44Y136
REG_V
REG_V_X18Y125
INT
INT_X19Y125
TIEOFF TIEOFF_X34Y250
CLEXM
CLEXM_X19Y125
SLICEM SLICE_X30Y125
SLICEX SLICE_X31Y125
INT
INT_X20Y125
TIEOFF TIEOFF_X36Y250
CLEXL
CLEXL_X20Y125
SLICEL SLICE_X32Y125
SLICEX SLICE_X33Y125
NULL
NULL_X50Y136
NULL
NULL_X51Y136
NULL
NULL_X52Y136
INT_RTERM
INT_RTERM_X53Y136
NULL
NULL_X54Y136
NULL
NULL_X55Y136
NULL
NULL_X56Y136
NULL
NULL_X57Y136
NULL
NULL_X58Y136
NULL
NULL_X59Y136
NULL
NULL_X60Y136
NULL
NULL_X61Y136
NULL
NULL_X62Y136
NULL
NULL_X63Y136
NULL
NULL_X64Y136
NULL
NULL_X65Y136
NULL
NULL_X66Y136
NULL
NULL_X67Y136
NULL
NULL_X68Y136
NULL
NULL_X69Y136
NULL
NULL_X70Y136
NULL
NULL_X71Y136
INT_LTERM
INT_LTERM_X72Y136
NULL
NULL_X73Y136
INT
INT_X32Y125
TIEOFF TIEOFF_X56Y250
CLEXM
CLEXM_X32Y125
SLICEM SLICE_X52Y125
SLICEX SLICE_X53Y125
INT
INT_X33Y125
TIEOFF TIEOFF_X58Y250
CLEXL
CLEXL_X33Y125
SLICEL SLICE_X54Y125
SLICEX SLICE_X55Y125
INT_BRAM
INT_BRAM_X34Y125
TIEOFF TIEOFF_X60Y250
INT_INTERFACE
INT_INTERFACE_X34Y125
NULL
NULL_X80Y136
INT
INT_X35Y125
TIEOFF TIEOFF_X61Y250
CLEXM
CLEXM_X35Y125
SLICEM SLICE_X56Y125
SLICEX SLICE_X57Y125
INT
INT_X36Y125
TIEOFF TIEOFF_X63Y250
CLEXL
CLEXL_X36Y125
SLICEL SLICE_X58Y125
SLICEX SLICE_X59Y125
IOI_INT
IOI_INT_X37Y125
TIEOFF TIEOFF_X65Y250
RIOI
RIOI_X37Y125
OLOGIC2 OLOGIC_X27Y114
IODELAY2 IODELAY_X27Y114
ILOGIC2 ILOGIC_X27Y114
OLOGIC2 OLOGIC_X27Y115
IODELAY2 IODELAY_X27Y115
ILOGIC2 ILOGIC_X27Y115
TIEOFF TIEOFF_X66Y250
MCB_CAP_INT
MCB_CAP_INT_X37Y125
IOI_RTERM
IOI_RTERM_X88Y136
RIOB
RIOB_X37Y125
IOBS F19
IOBM F18
LIOB
LIOB_X0Y124
IOBM F5
IOBS G6
IOI_LTERM
IOI_LTERM_X1Y135
LIOI_INT
LIOI_INT_X0Y124
TIEOFF TIEOFF_X0Y248
LIOI
LIOI_X0Y124
OLOGIC2 OLOGIC_X0Y112
IODELAY2 IODELAY_X0Y112
ILOGIC2 ILOGIC_X0Y112
OLOGIC2 OLOGIC_X0Y113
IODELAY2 IODELAY_X0Y113
ILOGIC2 ILOGIC_X0Y113
TIEOFF TIEOFF_X1Y248
MCB_CAP_INT
MCB_CAP_INT_X0Y124
INT
INT_X1Y124
TIEOFF TIEOFF_X2Y248
CLEXL
CLEXL_X1Y124
SLICEL SLICE_X0Y124
SLICEX SLICE_X1Y124
INT
INT_X2Y124
TIEOFF TIEOFF_X4Y248
CLEXM
CLEXM_X2Y124
SLICEM SLICE_X2Y124
SLICEX SLICE_X3Y124
INT_BRAM
INT_BRAM_X3Y124
TIEOFF TIEOFF_X6Y248
INT_INTERFACE
INT_INTERFACE_X3Y124
BRAMSITE2
BRAMSITE2_X3Y124
RAMB16BWER RAMB16_X0Y62
RAMB8BWER RAMB8_X0Y62
RAMB8BWER RAMB8_X0Y63
INT
INT_X4Y124
TIEOFF TIEOFF_X7Y248
CLEXL
CLEXL_X4Y124
SLICEL SLICE_X4Y124
SLICEX SLICE_X5Y124
INT
INT_X5Y124
TIEOFF TIEOFF_X9Y248
CLEXM
CLEXM_X5Y124
SLICEM SLICE_X6Y124
SLICEX SLICE_X7Y124
NULL
NULL_X16Y135
NULL
NULL_X17Y135
NULL
NULL_X18Y135
NULL
NULL_X19Y135
INT_RTERM
INT_RTERM_X20Y135
NULL
NULL_X21Y135
NULL
NULL_X22Y135
NULL
NULL_X23Y135
NULL
NULL_X24Y135
NULL
NULL_X25Y135
NULL
NULL_X26Y135
NULL
NULL_X27Y135
NULL
NULL_X28Y135
NULL
NULL_X29Y135
NULL
NULL_X30Y135
NULL
NULL_X31Y135
NULL
NULL_X32Y135
NULL
NULL_X33Y135
NULL
NULL_X34Y135
NULL
NULL_X35Y135
NULL
NULL_X36Y135
INT_LTERM
INT_LTERM_X37Y135
NULL
NULL_X38Y135
INT_LTERM
INT_LTERM_X39Y135
INT
INT_X17Y124
TIEOFF TIEOFF_X29Y248
CLEXM
CLEXM_X17Y124
SLICEM SLICE_X26Y124
SLICEX SLICE_X27Y124
INT
INT_X18Y124
TIEOFF TIEOFF_X31Y248
CLEXL
CLEXL_X18Y124
SLICEL SLICE_X28Y124
SLICEX SLICE_X29Y124
NULL
NULL_X44Y135
REG_V
REG_V_X18Y124
INT
INT_X19Y124
TIEOFF TIEOFF_X34Y248
CLEXM
CLEXM_X19Y124
SLICEM SLICE_X30Y124
SLICEX SLICE_X31Y124
INT
INT_X20Y124
TIEOFF TIEOFF_X36Y248
CLEXL
CLEXL_X20Y124
SLICEL SLICE_X32Y124
SLICEX SLICE_X33Y124
NULL
NULL_X50Y135
NULL
NULL_X51Y135
NULL
NULL_X52Y135
INT_RTERM
INT_RTERM_X53Y135
NULL
NULL_X54Y135
NULL
NULL_X55Y135
NULL
NULL_X56Y135
NULL
NULL_X57Y135
NULL
NULL_X58Y135
NULL
NULL_X59Y135
NULL
NULL_X60Y135
NULL
NULL_X61Y135
NULL
NULL_X62Y135
NULL
NULL_X63Y135
NULL
NULL_X64Y135
NULL
NULL_X65Y135
NULL
NULL_X66Y135
NULL
NULL_X67Y135
NULL
NULL_X68Y135
NULL
NULL_X69Y135
NULL
NULL_X70Y135
NULL
NULL_X71Y135
INT_LTERM
INT_LTERM_X72Y135
NULL
NULL_X73Y135
INT
INT_X32Y124
TIEOFF TIEOFF_X56Y248
CLEXM
CLEXM_X32Y124
SLICEM SLICE_X52Y124
SLICEX SLICE_X53Y124
INT
INT_X33Y124
TIEOFF TIEOFF_X58Y248
CLEXL
CLEXL_X33Y124
SLICEL SLICE_X54Y124
SLICEX SLICE_X55Y124
INT_BRAM
INT_BRAM_X34Y124
TIEOFF TIEOFF_X60Y248
INT_INTERFACE
INT_INTERFACE_X34Y124
BRAMSITE2
BRAMSITE2_X34Y124
RAMB16BWER RAMB16_X3Y62
RAMB8BWER RAMB8_X3Y62
RAMB8BWER RAMB8_X3Y63
INT
INT_X35Y124
TIEOFF TIEOFF_X61Y248
CLEXM
CLEXM_X35Y124
SLICEM SLICE_X56Y124
SLICEX SLICE_X57Y124
INT
INT_X36Y124
TIEOFF TIEOFF_X63Y248
CLEXL
CLEXL_X36Y124
SLICEL SLICE_X58Y124
SLICEX SLICE_X59Y124
IOI_INT
IOI_INT_X37Y124
TIEOFF TIEOFF_X65Y248
RIOI
RIOI_X37Y124
OLOGIC2 OLOGIC_X27Y112
IODELAY2 IODELAY_X27Y112
ILOGIC2 ILOGIC_X27Y112
OLOGIC2 OLOGIC_X27Y113
IODELAY2 IODELAY_X27Y113
ILOGIC2 ILOGIC_X27Y113
TIEOFF TIEOFF_X66Y248
MCB_CAP_INT
MCB_CAP_INT_X37Y124
IOI_RTERM
IOI_RTERM_X88Y135
RIOB
RIOB_X37Y124
IOBS H17
IOBM H16
EMP_LIOB
EMP_LIOB_X0Y134
IOI_LTERM
IOI_LTERM_X1Y134
INT
INT_X0Y123
TIEOFF TIEOFF_X0Y246
INT_INTERFACE
INT_INTERFACE_X0Y123
MCB_CAP_INT
MCB_CAP_INT_X0Y123
INT
INT_X1Y123
TIEOFF TIEOFF_X2Y246
CLEXL
CLEXL_X1Y123
SLICEL SLICE_X0Y123
SLICEX SLICE_X1Y123
INT
INT_X2Y123
TIEOFF TIEOFF_X4Y246
CLEXM
CLEXM_X2Y123
SLICEM SLICE_X2Y123
SLICEX SLICE_X3Y123
INT_BRAM
INT_BRAM_X3Y123
TIEOFF TIEOFF_X6Y246
INT_INTERFACE
INT_INTERFACE_X3Y123
NULL
NULL_X11Y134
INT
INT_X4Y123
TIEOFF TIEOFF_X7Y246
CLEXL
CLEXL_X4Y123
SLICEL SLICE_X4Y123
SLICEX SLICE_X5Y123
INT
INT_X5Y123
TIEOFF TIEOFF_X9Y246
CLEXM
CLEXM_X5Y123
SLICEM SLICE_X6Y123
SLICEX SLICE_X7Y123
NULL
NULL_X16Y134
NULL
NULL_X17Y134
NULL
NULL_X18Y134
NULL
NULL_X19Y134
INT_RTERM
INT_RTERM_X20Y134
NULL
NULL_X21Y134
NULL
NULL_X22Y134
NULL
NULL_X23Y134
NULL
NULL_X24Y134
NULL
NULL_X25Y134
NULL
NULL_X26Y134
NULL
NULL_X27Y134
NULL
NULL_X28Y134
NULL
NULL_X29Y134
NULL
NULL_X30Y134
NULL
NULL_X31Y134
NULL
NULL_X32Y134
NULL
NULL_X33Y134
NULL
NULL_X34Y134
NULL
NULL_X35Y134
NULL
NULL_X36Y134
INT_LTERM
INT_LTERM_X37Y134
NULL
NULL_X38Y134
INT_LTERM
INT_LTERM_X39Y134
INT
INT_X17Y123
TIEOFF TIEOFF_X29Y246
CLEXM
CLEXM_X17Y123
SLICEM SLICE_X26Y123
SLICEX SLICE_X27Y123
INT
INT_X18Y123
TIEOFF TIEOFF_X31Y246
CLEXL
CLEXL_X18Y123
SLICEL SLICE_X28Y123
SLICEX SLICE_X29Y123
NULL
NULL_X44Y134
REG_V
REG_V_X18Y123
INT
INT_X19Y123
TIEOFF TIEOFF_X34Y246
CLEXM
CLEXM_X19Y123
SLICEM SLICE_X30Y123
SLICEX SLICE_X31Y123
INT
INT_X20Y123
TIEOFF TIEOFF_X36Y246
CLEXL
CLEXL_X20Y123
SLICEL SLICE_X32Y123
SLICEX SLICE_X33Y123
NULL
NULL_X50Y134
NULL
NULL_X51Y134
NULL
NULL_X52Y134
INT_RTERM
INT_RTERM_X53Y134
NULL
NULL_X54Y134
NULL
NULL_X55Y134
NULL
NULL_X56Y134
NULL
NULL_X57Y134
NULL
NULL_X58Y134
NULL
NULL_X59Y134
NULL
NULL_X60Y134
NULL
NULL_X61Y134
NULL
NULL_X62Y134
NULL
NULL_X63Y134
NULL
NULL_X64Y134
NULL
NULL_X65Y134
NULL
NULL_X66Y134
NULL
NULL_X67Y134
NULL
NULL_X68Y134
NULL
NULL_X69Y134
NULL
NULL_X70Y134
NULL
NULL_X71Y134
INT_LTERM
INT_LTERM_X72Y134
NULL
NULL_X73Y134
INT
INT_X32Y123
TIEOFF TIEOFF_X56Y246
CLEXM
CLEXM_X32Y123
SLICEM SLICE_X52Y123
SLICEX SLICE_X53Y123
INT
INT_X33Y123
TIEOFF TIEOFF_X58Y246
CLEXL
CLEXL_X33Y123
SLICEL SLICE_X54Y123
SLICEX SLICE_X55Y123
INT_BRAM
INT_BRAM_X34Y123
TIEOFF TIEOFF_X60Y246
INT_INTERFACE
INT_INTERFACE_X34Y123
NULL
NULL_X80Y134
INT
INT_X35Y123
TIEOFF TIEOFF_X61Y246
CLEXM
CLEXM_X35Y123
SLICEM SLICE_X56Y123
SLICEX SLICE_X57Y123
INT
INT_X36Y123
TIEOFF TIEOFF_X63Y246
CLEXL
CLEXL_X36Y123
SLICEL SLICE_X58Y123
SLICEX SLICE_X59Y123
INT
INT_X37Y123
TIEOFF TIEOFF_X65Y246
INT_INTERFACE
INT_INTERFACE_X37Y123
MCB_CAP_INT
MCB_CAP_INT_X37Y123
IOI_RTERM
IOI_RTERM_X88Y134
EMP_RIOB
EMP_RIOB_X37Y123
LIOB
LIOB_X0Y122
IOBM J7
IOBS H8
IOI_LTERM
IOI_LTERM_X1Y133
LIOI_INT
LIOI_INT_X0Y122
TIEOFF TIEOFF_X0Y244
LIOI
LIOI_X0Y122
OLOGIC2 OLOGIC_X0Y110
IODELAY2 IODELAY_X0Y110
ILOGIC2 ILOGIC_X0Y110
OLOGIC2 OLOGIC_X0Y111
IODELAY2 IODELAY_X0Y111
ILOGIC2 ILOGIC_X0Y111
TIEOFF TIEOFF_X1Y244
MCB_CAP_INT
MCB_CAP_INT_X0Y122
INT
INT_X1Y122
TIEOFF TIEOFF_X2Y244
CLEXL
CLEXL_X1Y122
SLICEL SLICE_X0Y122
SLICEX SLICE_X1Y122
INT
INT_X2Y122
TIEOFF TIEOFF_X4Y244
CLEXM
CLEXM_X2Y122
SLICEM SLICE_X2Y122
SLICEX SLICE_X3Y122
INT_BRAM
INT_BRAM_X3Y122
TIEOFF TIEOFF_X6Y244
INT_INTERFACE
INT_INTERFACE_X3Y122
NULL
NULL_X11Y133
INT
INT_X4Y122
TIEOFF TIEOFF_X7Y244
CLEXL
CLEXL_X4Y122
SLICEL SLICE_X4Y122
SLICEX SLICE_X5Y122
INT
INT_X5Y122
TIEOFF TIEOFF_X9Y244
CLEXM
CLEXM_X5Y122
SLICEM SLICE_X6Y122
SLICEX SLICE_X7Y122
NULL
NULL_X16Y133
NULL
NULL_X17Y133
NULL
NULL_X18Y133
NULL
NULL_X19Y133
INT_RTERM
INT_RTERM_X20Y133
NULL
NULL_X21Y133
NULL
NULL_X22Y133
NULL
NULL_X23Y133
NULL
NULL_X24Y133
NULL
NULL_X25Y133
NULL
NULL_X26Y133
NULL
NULL_X27Y133
NULL
NULL_X28Y133
NULL
NULL_X29Y133
NULL
NULL_X30Y133
NULL
NULL_X31Y133
NULL
NULL_X32Y133
NULL
NULL_X33Y133
NULL
NULL_X34Y133
NULL
NULL_X35Y133
NULL
NULL_X36Y133
INT_LTERM
INT_LTERM_X37Y133
NULL
NULL_X38Y133
INT_LTERM
INT_LTERM_X39Y133
INT
INT_X17Y122
TIEOFF TIEOFF_X29Y244
CLEXM
CLEXM_X17Y122
SLICEM SLICE_X26Y122
SLICEX SLICE_X27Y122
INT
INT_X18Y122
TIEOFF TIEOFF_X31Y244
CLEXL
CLEXL_X18Y122
SLICEL SLICE_X28Y122
SLICEX SLICE_X29Y122
NULL
NULL_X44Y133
REG_V
REG_V_X18Y122
INT
INT_X19Y122
TIEOFF TIEOFF_X34Y244
CLEXM
CLEXM_X19Y122
SLICEM SLICE_X30Y122
SLICEX SLICE_X31Y122
INT
INT_X20Y122
TIEOFF TIEOFF_X36Y244
CLEXL
CLEXL_X20Y122
SLICEL SLICE_X32Y122
SLICEX SLICE_X33Y122
NULL
NULL_X50Y133
NULL
NULL_X51Y133
NULL
NULL_X52Y133
INT_RTERM
INT_RTERM_X53Y133
NULL
NULL_X54Y133
NULL
NULL_X55Y133
NULL
NULL_X56Y133
NULL
NULL_X57Y133
NULL
NULL_X58Y133
NULL
NULL_X59Y133
NULL
NULL_X60Y133
NULL
NULL_X61Y133
NULL
NULL_X62Y133
NULL
NULL_X63Y133
NULL
NULL_X64Y133
NULL
NULL_X65Y133
NULL
NULL_X66Y133
NULL
NULL_X67Y133
NULL
NULL_X68Y133
NULL
NULL_X69Y133
NULL
NULL_X70Y133
NULL
NULL_X71Y133
INT_LTERM
INT_LTERM_X72Y133
NULL
NULL_X73Y133
INT
INT_X32Y122
TIEOFF TIEOFF_X56Y244
CLEXM
CLEXM_X32Y122
SLICEM SLICE_X52Y122
SLICEX SLICE_X53Y122
INT
INT_X33Y122
TIEOFF TIEOFF_X58Y244
CLEXL
CLEXL_X33Y122
SLICEL SLICE_X54Y122
SLICEX SLICE_X55Y122
INT_BRAM
INT_BRAM_X34Y122
TIEOFF TIEOFF_X60Y244
INT_INTERFACE
INT_INTERFACE_X34Y122
NULL
NULL_X80Y133
INT
INT_X35Y122
TIEOFF TIEOFF_X61Y244
CLEXM
CLEXM_X35Y122
SLICEM SLICE_X56Y122
SLICEX SLICE_X57Y122
INT
INT_X36Y122
TIEOFF TIEOFF_X63Y244
CLEXL
CLEXL_X36Y122
SLICEL SLICE_X58Y122
SLICEX SLICE_X59Y122
IOI_INT
IOI_INT_X37Y122
TIEOFF TIEOFF_X65Y244
RIOI
RIOI_X37Y122
OLOGIC2 OLOGIC_X27Y110
IODELAY2 IODELAY_X27Y110
ILOGIC2 ILOGIC_X27Y110
OLOGIC2 OLOGIC_X27Y111
IODELAY2 IODELAY_X27Y111
ILOGIC2 ILOGIC_X27Y111
TIEOFF TIEOFF_X66Y244
MCB_CAP_INT
MCB_CAP_INT_X37Y122
IOI_RTERM
IOI_RTERM_X88Y133
RIOB
RIOB_X37Y122
IOBS B22
IOBM B21
EMP_LIOB
EMP_LIOB_X0Y132
IOI_LTERM
IOI_LTERM_X1Y132
INT
INT_X0Y121
TIEOFF TIEOFF_X0Y242
INT_INTERFACE
INT_INTERFACE_X0Y121
MCB_CAP_INT
MCB_CAP_INT_X0Y121
INT
INT_X1Y121
TIEOFF TIEOFF_X2Y242
CLEXL
CLEXL_X1Y121
SLICEL SLICE_X0Y121
SLICEX SLICE_X1Y121
INT
INT_X2Y121
TIEOFF TIEOFF_X4Y242
CLEXM
CLEXM_X2Y121
SLICEM SLICE_X2Y121
SLICEX SLICE_X3Y121
INT_BRAM
INT_BRAM_X3Y121
TIEOFF TIEOFF_X6Y242
INT_INTERFACE
INT_INTERFACE_X3Y121
NULL
NULL_X11Y132
INT
INT_X4Y121
TIEOFF TIEOFF_X7Y242
CLEXL
CLEXL_X4Y121
SLICEL SLICE_X4Y121
SLICEX SLICE_X5Y121
INT
INT_X5Y121
TIEOFF TIEOFF_X9Y242
CLEXM
CLEXM_X5Y121
SLICEM SLICE_X6Y121
SLICEX SLICE_X7Y121
NULL
NULL_X16Y132
NULL
NULL_X17Y132
NULL
NULL_X18Y132
NULL
NULL_X19Y132
INT_RTERM
INT_RTERM_X20Y132
NULL
NULL_X21Y132
NULL
NULL_X22Y132
NULL
NULL_X23Y132
NULL
NULL_X24Y132
NULL
NULL_X25Y132
NULL
NULL_X26Y132
NULL
NULL_X27Y132
NULL
NULL_X28Y132
NULL
NULL_X29Y132
NULL
NULL_X30Y132
NULL
NULL_X31Y132
NULL
NULL_X32Y132
NULL
NULL_X33Y132
NULL
NULL_X34Y132
NULL
NULL_X35Y132
NULL
NULL_X36Y132
INT_LTERM
INT_LTERM_X37Y132
NULL
NULL_X38Y132
INT_LTERM
INT_LTERM_X39Y132
INT
INT_X17Y121
TIEOFF TIEOFF_X29Y242
CLEXM
CLEXM_X17Y121
SLICEM SLICE_X26Y121
SLICEX SLICE_X27Y121
INT
INT_X18Y121
TIEOFF TIEOFF_X31Y242
CLEXL
CLEXL_X18Y121
SLICEL SLICE_X28Y121
SLICEX SLICE_X29Y121
NULL
NULL_X44Y132
REG_V
REG_V_X18Y121
INT
INT_X19Y121
TIEOFF TIEOFF_X34Y242
CLEXM
CLEXM_X19Y121
SLICEM SLICE_X30Y121
SLICEX SLICE_X31Y121
INT
INT_X20Y121
TIEOFF TIEOFF_X36Y242
CLEXL
CLEXL_X20Y121
SLICEL SLICE_X32Y121
SLICEX SLICE_X33Y121
NULL
NULL_X50Y132
NULL
NULL_X51Y132
NULL
NULL_X52Y132
INT_RTERM
INT_RTERM_X53Y132
NULL
NULL_X54Y132
NULL
NULL_X55Y132
NULL
NULL_X56Y132
NULL
NULL_X57Y132
NULL
NULL_X58Y132
NULL
NULL_X59Y132
NULL
NULL_X60Y132
NULL
NULL_X61Y132
NULL
NULL_X62Y132
NULL
NULL_X63Y132
NULL
NULL_X64Y132
NULL
NULL_X65Y132
NULL
NULL_X66Y132
NULL
NULL_X67Y132
NULL
NULL_X68Y132
NULL
NULL_X69Y132
NULL
NULL_X70Y132
NULL
NULL_X71Y132
INT_LTERM
INT_LTERM_X72Y132
NULL
NULL_X73Y132
INT
INT_X32Y121
TIEOFF TIEOFF_X56Y242
CLEXM
CLEXM_X32Y121
SLICEM SLICE_X52Y121
SLICEX SLICE_X53Y121
INT
INT_X33Y121
TIEOFF TIEOFF_X58Y242
CLEXL
CLEXL_X33Y121
SLICEL SLICE_X54Y121
SLICEX SLICE_X55Y121
INT_BRAM
INT_BRAM_X34Y121
TIEOFF TIEOFF_X60Y242
INT_INTERFACE
INT_INTERFACE_X34Y121
NULL
NULL_X80Y132
INT
INT_X35Y121
TIEOFF TIEOFF_X61Y242
CLEXM
CLEXM_X35Y121
SLICEM SLICE_X56Y121
SLICEX SLICE_X57Y121
INT
INT_X36Y121
TIEOFF TIEOFF_X63Y242
CLEXL
CLEXL_X36Y121
SLICEL SLICE_X58Y121
SLICEX SLICE_X59Y121
INT
INT_X37Y121
TIEOFF TIEOFF_X65Y242
INT_INTERFACE
INT_INTERFACE_X37Y121
MCB_CAP_INT
MCB_CAP_INT_X37Y121
IOI_RTERM
IOI_RTERM_X88Y132
EMP_RIOB
EMP_RIOB_X37Y121
LIOB
LIOB_X0Y120
IOBM H6
IOBS G7
IOI_LTERM
IOI_LTERM_X1Y131
LIOI_INT
LIOI_INT_X0Y120
TIEOFF TIEOFF_X0Y240
LIOI
LIOI_X0Y120
OLOGIC2 OLOGIC_X0Y108
IODELAY2 IODELAY_X0Y108
ILOGIC2 ILOGIC_X0Y108
OLOGIC2 OLOGIC_X0Y109
IODELAY2 IODELAY_X0Y109
ILOGIC2 ILOGIC_X0Y109
TIEOFF TIEOFF_X1Y240
MCB_CAP_INT
MCB_CAP_INT_X0Y120
INT
INT_X1Y120
TIEOFF TIEOFF_X2Y240
CLEXL
CLEXL_X1Y120
SLICEL SLICE_X0Y120
SLICEX SLICE_X1Y120
INT
INT_X2Y120
TIEOFF TIEOFF_X4Y240
CLEXM
CLEXM_X2Y120
SLICEM SLICE_X2Y120
SLICEX SLICE_X3Y120
INT_BRAM
INT_BRAM_X3Y120
TIEOFF TIEOFF_X6Y240
INT_INTERFACE
INT_INTERFACE_X3Y120
BRAMSITE2
BRAMSITE2_X3Y120
RAMB16BWER RAMB16_X0Y60
RAMB8BWER RAMB8_X0Y60
RAMB8BWER RAMB8_X0Y61
INT
INT_X4Y120
TIEOFF TIEOFF_X7Y240
CLEXL
CLEXL_X4Y120
SLICEL SLICE_X4Y120
SLICEX SLICE_X5Y120
INT
INT_X5Y120
TIEOFF TIEOFF_X9Y240
CLEXM
CLEXM_X5Y120
SLICEM SLICE_X6Y120
SLICEX SLICE_X7Y120
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X5Y120
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X6Y111
GTPDUAL_LEFT_DSP_FEEDTHRU
GTPDUAL_LEFT_DSP_FEEDTHRU_X6Y111
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X7Y111
INT_RTERM
INT_RTERM_X20Y131
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X8Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X8Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X9Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X9Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X10Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X10Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X11Y95
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X11Y95
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X12Y95
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X12Y95
GTPDUAL_TOP
GTPDUAL_TOP_X12Y95
IPAD C11
IPAD D11
IPAD A10
IPAD B10
BUFDS BUFDS_X1Y3
BUFDS BUFDS_X1Y2
IPAD C7
IPAD C9
IPAD D9
IPAD D7
GTPA1_DUAL GTPA1_DUAL_X0Y0
OPAD A8
OPAD B6
OPAD B8
OPAD A6
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X13Y95
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X13Y95
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X14Y111
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X14Y111
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X15Y111
INT_LTERM
INT_LTERM_X37Y131
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X16Y111
INT_LTERM
INT_LTERM_X39Y131
INT
INT_X17Y120
TIEOFF TIEOFF_X29Y240
CLEXM
CLEXM_X17Y120
SLICEM SLICE_X26Y120
SLICEX SLICE_X27Y120
IOI_INT
IOI_INT_X18Y120
TIEOFF TIEOFF_X31Y240
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y120
CMT_PLL_TOP
CMT_PLL_TOP_X18Y120
TIEOFF TIEOFF_X33Y241
PLL_ADV PLL_ADV_X0Y3
REG_V
REG_V_X18Y120
INT
INT_X19Y120
TIEOFF TIEOFF_X34Y240
CLEXM
CLEXM_X19Y120
SLICEM SLICE_X30Y120
SLICEX SLICE_X31Y120
INT
INT_X20Y120
TIEOFF TIEOFF_X36Y240
CLEXL
CLEXL_X20Y120
SLICEL SLICE_X32Y120
SLICEX SLICE_X33Y120
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X20Y120
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X20Y120
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X22Y111
INT_RTERM
INT_RTERM_X53Y131
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X23Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X23Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X24Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X24Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X25Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X25Y111
GTPDUAL_TOP
GTPDUAL_TOP_X25Y111
IPAD E12
IPAD F12
IPAD A12
IPAD B12
BUFDS BUFDS_X2Y3
BUFDS BUFDS_X2Y2
IPAD C13
IPAD C15
IPAD D15
IPAD D13
GTPA1_DUAL GTPA1_DUAL_X1Y0
OPAD A16
OPAD B14
OPAD B16
OPAD A14
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X26Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X26Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X27Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X27Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X28Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X28Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X29Y111
GTPDUAL_CLB_FEEDTHRU
GTPDUAL_CLB_FEEDTHRU_X29Y111
GTPDUAL_INT_FEEDTHRU
GTPDUAL_INT_FEEDTHRU_X30Y111
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X30Y111
GTPDUAL_LEFT_INT_FEEDTHRU
GTPDUAL_LEFT_INT_FEEDTHRU_X31Y111
INT_LTERM
INT_LTERM_X72Y131
GTPDUAL_DSP_FEEDTHRU
GTPDUAL_DSP_FEEDTHRU_X72Y131
INT
INT_X32Y120
TIEOFF TIEOFF_X56Y240
CLEXM
CLEXM_X32Y120
SLICEM SLICE_X52Y120
SLICEX SLICE_X53Y120
INT
INT_X33Y120
TIEOFF TIEOFF_X58Y240
CLEXL
CLEXL_X33Y120
SLICEL SLICE_X54Y120
SLICEX SLICE_X55Y120
INT_BRAM
INT_BRAM_X34Y120
TIEOFF TIEOFF_X60Y240
INT_INTERFACE
INT_INTERFACE_X34Y120
BRAMSITE2
BRAMSITE2_X34Y120
RAMB16BWER RAMB16_X3Y60
RAMB8BWER RAMB8_X3Y60
RAMB8BWER RAMB8_X3Y61
INT
INT_X35Y120
TIEOFF TIEOFF_X61Y240
CLEXM
CLEXM_X35Y120
SLICEM SLICE_X56Y120
SLICEX SLICE_X57Y120
INT
INT_X36Y120
TIEOFF TIEOFF_X63Y240
CLEXL
CLEXL_X36Y120
SLICEL SLICE_X58Y120
SLICEX SLICE_X59Y120
IOI_INT
IOI_INT_X37Y120
TIEOFF TIEOFF_X65Y240
RIOI
RIOI_X37Y120
OLOGIC2 OLOGIC_X27Y108
IODELAY2 IODELAY_X27Y108
ILOGIC2 ILOGIC_X27Y108
OLOGIC2 OLOGIC_X27Y109
IODELAY2 IODELAY_X27Y109
ILOGIC2 ILOGIC_X27Y109
TIEOFF TIEOFF_X66Y240
MCB_CAP_INT
MCB_CAP_INT_X37Y120
IOI_RTERM
IOI_RTERM_X88Y131
RIOB
RIOB_X37Y120
IOBS PAD54
IOBM PAD53
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y130
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y130
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y119
HCLK_IOIL_TOP_UP
HCLK_IOIL_TOP_UP_X0Y119
MCB_HCLK
MCB_HCLK_X0Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y119
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y119
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y119
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y119
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y119
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y119
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y119
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y119
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y119
NULL
NULL_X21Y130
NULL
NULL_X22Y130
NULL
NULL_X23Y130
NULL
NULL_X24Y130
NULL
NULL_X25Y130
NULL
NULL_X26Y130
NULL
NULL_X27Y130
NULL
NULL_X28Y130
NULL
NULL_X29Y130
NULL
NULL_X30Y130
NULL
NULL_X31Y130
NULL
NULL_X32Y130
NULL
NULL_X33Y130
NULL
NULL_X34Y130
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X34Y130
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X15Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y119
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y119
NULL
NULL_X44Y130
REG_V_HCLK
REG_V_HCLK_X44Y130
BUFH BUFH_X0Y255
BUFH BUFH_X0Y254
BUFH BUFH_X0Y253
BUFH BUFH_X0Y252
BUFH BUFH_X0Y251
BUFH BUFH_X0Y250
BUFH BUFH_X0Y249
BUFH BUFH_X0Y248
BUFH BUFH_X0Y247
BUFH BUFH_X0Y246
BUFH BUFH_X0Y245
BUFH BUFH_X0Y244
BUFH BUFH_X0Y243
BUFH BUFH_X0Y242
BUFH BUFH_X0Y241
BUFH BUFH_X0Y240
BUFH BUFH_X3Y239
BUFH BUFH_X3Y238
BUFH BUFH_X3Y237
BUFH BUFH_X3Y236
BUFH BUFH_X3Y235
BUFH BUFH_X3Y234
BUFH BUFH_X3Y233
BUFH BUFH_X3Y232
BUFH BUFH_X3Y231
BUFH BUFH_X3Y230
BUFH BUFH_X3Y229
BUFH BUFH_X3Y228
BUFH BUFH_X3Y227
BUFH BUFH_X3Y226
BUFH BUFH_X3Y225
BUFH BUFH_X3Y224
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y119
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y119
NULL
NULL_X54Y130
NULL
NULL_X55Y130
NULL
NULL_X56Y130
NULL
NULL_X57Y130
NULL
NULL_X58Y130
NULL
NULL_X59Y130
NULL
NULL_X60Y130
NULL
NULL_X61Y130
NULL
NULL_X62Y130
NULL
NULL_X63Y130
NULL
NULL_X64Y130
NULL
NULL_X65Y130
NULL
NULL_X66Y130
NULL
NULL_X67Y130
NULL
NULL_X68Y130
NULL
NULL_X69Y130
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X69Y130
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X31Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X31Y119
NULL
NULL_X73Y130
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y119
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y119
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y119
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y119
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y119
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y119
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y119
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y119
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y119
HCLK_IOIR_TOP_UP
HCLK_IOIR_TOP_UP_X37Y119
MCB_HCLK
MCB_HCLK_X37Y119
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y130
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y130
EMP_LIOB
EMP_LIOB_X0Y129
IOI_LTERM
IOI_LTERM_X1Y129
INT
INT_X0Y119
TIEOFF TIEOFF_X0Y238
INT_INTERFACE
INT_INTERFACE_X0Y119
MCB_CAP_INT
MCB_CAP_INT_X0Y119
INT
INT_X1Y119
TIEOFF TIEOFF_X2Y238
CLEXL
CLEXL_X1Y119
SLICEL SLICE_X0Y119
SLICEX SLICE_X1Y119
INT
INT_X2Y119
TIEOFF TIEOFF_X4Y238
CLEXM
CLEXM_X2Y119
SLICEM SLICE_X2Y119
SLICEX SLICE_X3Y119
INT_BRAM
INT_BRAM_X3Y119
TIEOFF TIEOFF_X6Y238
INT_INTERFACE
INT_INTERFACE_X3Y119
NULL
NULL_X11Y129
INT
INT_X4Y119
TIEOFF TIEOFF_X7Y238
CLEXL
CLEXL_X4Y119
SLICEL SLICE_X4Y119
SLICEX SLICE_X5Y119
INT
INT_X5Y119
TIEOFF TIEOFF_X9Y238
CLEXM
CLEXM_X5Y119
SLICEM SLICE_X6Y119
SLICEX SLICE_X7Y119
INT
INT_X6Y119
TIEOFF TIEOFF_X11Y238
INT_INTERFACE
INT_INTERFACE_X6Y119
NULL
NULL_X18Y129
INT
INT_X7Y119
TIEOFF TIEOFF_X12Y238
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y129
NULL
NULL_X21Y129
NULL
NULL_X22Y129
NULL
NULL_X23Y129
NULL
NULL_X24Y129
NULL
NULL_X25Y129
NULL
NULL_X26Y129
NULL
NULL_X27Y129
NULL
NULL_X28Y129
NULL
NULL_X29Y129
NULL
NULL_X30Y129
NULL
NULL_X31Y129
NULL
NULL_X32Y129
NULL
NULL_X33Y129
NULL
NULL_X34Y129
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y129
INT_TERM
INT_X15Y119
TIEOFF TIEOFF_X26Y238
NULL
NULL_X37Y129
INT
INT_X16Y119
TIEOFF TIEOFF_X28Y238
CLEXL
CLEXL_X16Y119
SLICEL SLICE_X24Y119
SLICEX SLICE_X25Y119
INT
INT_X17Y119
TIEOFF TIEOFF_X29Y238
CLEXM
CLEXM_X17Y119
SLICEM SLICE_X26Y119
SLICEX SLICE_X27Y119
INT
INT_X18Y119
TIEOFF TIEOFF_X31Y238
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X18Y119
NULL
NULL_X44Y129
REG_V_MEMB_TOP
REG_V_MEMB_TOP_X18Y119
INT
INT_X19Y119
TIEOFF TIEOFF_X34Y238
CLEXM
CLEXM_X19Y119
SLICEM SLICE_X30Y119
SLICEX SLICE_X31Y119
INT
INT_X20Y119
TIEOFF TIEOFF_X36Y238
CLEXL
CLEXL_X20Y119
SLICEL SLICE_X32Y119
SLICEX SLICE_X33Y119
INT
INT_X21Y119
TIEOFF TIEOFF_X38Y238
CLEXM
CLEXM_X21Y119
SLICEM SLICE_X34Y119
SLICEX SLICE_X35Y119
INT
INT_X22Y119
TIEOFF TIEOFF_X39Y238
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y129
NULL
NULL_X54Y129
NULL
NULL_X55Y129
NULL
NULL_X56Y129
NULL
NULL_X57Y129
NULL
NULL_X58Y129
NULL
NULL_X59Y129
NULL
NULL_X60Y129
NULL
NULL_X61Y129
NULL
NULL_X62Y129
NULL
NULL_X63Y129
NULL
NULL_X64Y129
NULL
NULL_X65Y129
NULL
NULL_X66Y129
NULL
NULL_X67Y129
NULL
NULL_X68Y129
NULL
NULL_X69Y129
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y129
INT_TERM
INT_X31Y119
TIEOFF TIEOFF_X55Y238
NULL
NULL_X72Y129
NULL
NULL_X73Y129
INT
INT_X32Y119
TIEOFF TIEOFF_X56Y238
CLEXM
CLEXM_X32Y119
SLICEM SLICE_X52Y119
SLICEX SLICE_X53Y119
INT
INT_X33Y119
TIEOFF TIEOFF_X58Y238
CLEXL
CLEXL_X33Y119
SLICEL SLICE_X54Y119
SLICEX SLICE_X55Y119
INT_BRAM
INT_BRAM_X34Y119
TIEOFF TIEOFF_X60Y238
INT_INTERFACE
INT_INTERFACE_X34Y119
NULL
NULL_X80Y129
INT
INT_X35Y119
TIEOFF TIEOFF_X61Y238
CLEXM
CLEXM_X35Y119
SLICEM SLICE_X56Y119
SLICEX SLICE_X57Y119
INT
INT_X36Y119
TIEOFF TIEOFF_X63Y238
CLEXL
CLEXL_X36Y119
SLICEL SLICE_X58Y119
SLICEX SLICE_X59Y119
INT
INT_X37Y119
TIEOFF TIEOFF_X65Y238
INT_INTERFACE
INT_INTERFACE_X37Y119
MCB_CAP_INT
MCB_CAP_INT_X37Y119
IOI_RTERM
IOI_RTERM_X88Y129
EMP_RIOB
EMP_RIOB_X37Y119
LIOB
LIOB_X0Y118
IOBM PAD361
IOBS PAD362
IOI_LTERM
IOI_LTERM_X1Y128
LIOI_INT
LIOI_INT_X0Y118
TIEOFF TIEOFF_X0Y236
LIOI
LIOI_X0Y118
OLOGIC2 OLOGIC_X0Y106
IODELAY2 IODELAY_X0Y106
ILOGIC2 ILOGIC_X0Y106
OLOGIC2 OLOGIC_X0Y107
IODELAY2 IODELAY_X0Y107
ILOGIC2 ILOGIC_X0Y107
TIEOFF TIEOFF_X1Y236
MCB_CAP_INT
MCB_CAP_INT_X0Y118
INT
INT_X1Y118
TIEOFF TIEOFF_X2Y236
CLEXL
CLEXL_X1Y118
SLICEL SLICE_X0Y118
SLICEX SLICE_X1Y118
INT
INT_X2Y118
TIEOFF TIEOFF_X4Y236
CLEXM
CLEXM_X2Y118
SLICEM SLICE_X2Y118
SLICEX SLICE_X3Y118
INT_BRAM
INT_BRAM_X3Y118
TIEOFF TIEOFF_X6Y236
INT_INTERFACE
INT_INTERFACE_X3Y118
NULL
NULL_X11Y128
INT
INT_X4Y118
TIEOFF TIEOFF_X7Y236
CLEXL
CLEXL_X4Y118
SLICEL SLICE_X4Y118
SLICEX SLICE_X5Y118
INT
INT_X5Y118
TIEOFF TIEOFF_X9Y236
CLEXM
CLEXM_X5Y118
SLICEM SLICE_X6Y118
SLICEX SLICE_X7Y118
INT
INT_X6Y118
TIEOFF TIEOFF_X11Y236
INT_INTERFACE
INT_INTERFACE_X6Y118
NULL
NULL_X18Y128
INT
INT_X7Y118
TIEOFF TIEOFF_X12Y236
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y128
NULL
NULL_X21Y128
NULL
NULL_X22Y128
NULL
NULL_X23Y128
NULL
NULL_X24Y128
NULL
NULL_X25Y128
NULL
NULL_X26Y128
NULL
NULL_X27Y128
NULL
NULL_X28Y128
NULL
NULL_X29Y128
NULL
NULL_X30Y128
NULL
NULL_X31Y128
NULL
NULL_X32Y128
NULL
NULL_X33Y128
NULL
NULL_X34Y128
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y128
INT_TERM
INT_X15Y118
TIEOFF TIEOFF_X26Y236
NULL
NULL_X37Y128
INT
INT_X16Y118
TIEOFF TIEOFF_X28Y236
CLEXL
CLEXL_X16Y118
SLICEL SLICE_X24Y118
SLICEX SLICE_X25Y118
INT
INT_X17Y118
TIEOFF TIEOFF_X29Y236
CLEXM
CLEXM_X17Y118
SLICEM SLICE_X26Y118
SLICEX SLICE_X27Y118
INT
INT_X18Y118
TIEOFF TIEOFF_X31Y236
CLEXL
CLEXL_X18Y118
SLICEL SLICE_X28Y118
SLICEX SLICE_X29Y118
NULL
NULL_X44Y128
REG_V
REG_V_X18Y118
INT
INT_X19Y118
TIEOFF TIEOFF_X34Y236
CLEXM
CLEXM_X19Y118
SLICEM SLICE_X30Y118
SLICEX SLICE_X31Y118
INT
INT_X20Y118
TIEOFF TIEOFF_X36Y236
CLEXL
CLEXL_X20Y118
SLICEL SLICE_X32Y118
SLICEX SLICE_X33Y118
INT
INT_X21Y118
TIEOFF TIEOFF_X38Y236
CLEXM
CLEXM_X21Y118
SLICEM SLICE_X34Y118
SLICEX SLICE_X35Y118
INT
INT_X22Y118
TIEOFF TIEOFF_X39Y236
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y128
NULL
NULL_X54Y128
NULL
NULL_X55Y128
NULL
NULL_X56Y128
NULL
NULL_X57Y128
NULL
NULL_X58Y128
NULL
NULL_X59Y128
NULL
NULL_X60Y128
NULL
NULL_X61Y128
NULL
NULL_X62Y128
NULL
NULL_X63Y128
NULL
NULL_X64Y128
NULL
NULL_X65Y128
NULL
NULL_X66Y128
NULL
NULL_X67Y128
NULL
NULL_X68Y128
NULL
NULL_X69Y128
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y128
INT_TERM
INT_X31Y118
TIEOFF TIEOFF_X55Y236
NULL
NULL_X72Y128
NULL
NULL_X73Y128
INT
INT_X32Y118
TIEOFF TIEOFF_X56Y236
CLEXM
CLEXM_X32Y118
SLICEM SLICE_X52Y118
SLICEX SLICE_X53Y118
INT
INT_X33Y118
TIEOFF TIEOFF_X58Y236
CLEXL
CLEXL_X33Y118
SLICEL SLICE_X54Y118
SLICEX SLICE_X55Y118
INT_BRAM
INT_BRAM_X34Y118
TIEOFF TIEOFF_X60Y236
INT_INTERFACE
INT_INTERFACE_X34Y118
NULL
NULL_X80Y128
INT
INT_X35Y118
TIEOFF TIEOFF_X61Y236
CLEXM
CLEXM_X35Y118
SLICEM SLICE_X56Y118
SLICEX SLICE_X57Y118
INT
INT_X36Y118
TIEOFF TIEOFF_X63Y236
CLEXL
CLEXL_X36Y118
SLICEL SLICE_X58Y118
SLICEX SLICE_X59Y118
IOI_INT
IOI_INT_X37Y118
TIEOFF TIEOFF_X65Y236
RIOI
RIOI_X37Y118
OLOGIC2 OLOGIC_X27Y106
IODELAY2 IODELAY_X27Y106
ILOGIC2 ILOGIC_X27Y106
OLOGIC2 OLOGIC_X27Y107
IODELAY2 IODELAY_X27Y107
ILOGIC2 ILOGIC_X27Y107
TIEOFF TIEOFF_X66Y236
MCB_CAP_INT
MCB_CAP_INT_X37Y118
IOI_RTERM
IOI_RTERM_X88Y128
RIOB
RIOB_X37Y118
IOBS PAD56
IOBM PAD55
EMP_LIOB
EMP_LIOB_X0Y127
IOI_LTERM
IOI_LTERM_X1Y127
INT
INT_X0Y117
TIEOFF TIEOFF_X0Y234
INT_INTERFACE
INT_INTERFACE_X0Y117
MCB_CAP_INT
MCB_CAP_INT_X0Y117
INT
INT_X1Y117
TIEOFF TIEOFF_X2Y234
CLEXL
CLEXL_X1Y117
SLICEL SLICE_X0Y117
SLICEX SLICE_X1Y117
INT
INT_X2Y117
TIEOFF TIEOFF_X4Y234
CLEXM
CLEXM_X2Y117
SLICEM SLICE_X2Y117
SLICEX SLICE_X3Y117
INT_BRAM
INT_BRAM_X3Y117
TIEOFF TIEOFF_X6Y234
INT_INTERFACE
INT_INTERFACE_X3Y117
NULL
NULL_X11Y127
INT
INT_X4Y117
TIEOFF TIEOFF_X7Y234
CLEXL
CLEXL_X4Y117
SLICEL SLICE_X4Y117
SLICEX SLICE_X5Y117
INT
INT_X5Y117
TIEOFF TIEOFF_X9Y234
CLEXM
CLEXM_X5Y117
SLICEM SLICE_X6Y117
SLICEX SLICE_X7Y117
INT
INT_X6Y117
TIEOFF TIEOFF_X11Y234
INT_INTERFACE
INT_INTERFACE_X6Y117
NULL
NULL_X18Y127
INT
INT_X7Y117
TIEOFF TIEOFF_X12Y234
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y127
NULL
NULL_X21Y127
NULL
NULL_X22Y127
NULL
NULL_X23Y127
NULL
NULL_X24Y127
NULL
NULL_X25Y127
NULL
NULL_X26Y127
NULL
NULL_X27Y127
NULL
NULL_X28Y127
NULL
NULL_X29Y127
NULL
NULL_X30Y127
NULL
NULL_X31Y127
NULL
NULL_X32Y127
NULL
NULL_X33Y127
NULL
NULL_X34Y127
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y127
INT_TERM
INT_X15Y117
TIEOFF TIEOFF_X26Y234
NULL
NULL_X37Y127
INT
INT_X16Y117
TIEOFF TIEOFF_X28Y234
CLEXL
CLEXL_X16Y117
SLICEL SLICE_X24Y117
SLICEX SLICE_X25Y117
INT
INT_X17Y117
TIEOFF TIEOFF_X29Y234
CLEXM
CLEXM_X17Y117
SLICEM SLICE_X26Y117
SLICEX SLICE_X27Y117
INT
INT_X18Y117
TIEOFF TIEOFF_X31Y234
CLEXL
CLEXL_X18Y117
SLICEL SLICE_X28Y117
SLICEX SLICE_X29Y117
NULL
NULL_X44Y127
REG_V
REG_V_X18Y117
INT
INT_X19Y117
TIEOFF TIEOFF_X34Y234
CLEXM
CLEXM_X19Y117
SLICEM SLICE_X30Y117
SLICEX SLICE_X31Y117
INT
INT_X20Y117
TIEOFF TIEOFF_X36Y234
CLEXL
CLEXL_X20Y117
SLICEL SLICE_X32Y117
SLICEX SLICE_X33Y117
INT
INT_X21Y117
TIEOFF TIEOFF_X38Y234
CLEXM
CLEXM_X21Y117
SLICEM SLICE_X34Y117
SLICEX SLICE_X35Y117
INT
INT_X22Y117
TIEOFF TIEOFF_X39Y234
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y127
NULL
NULL_X54Y127
NULL
NULL_X55Y127
NULL
NULL_X56Y127
NULL
NULL_X57Y127
NULL
NULL_X58Y127
NULL
NULL_X59Y127
NULL
NULL_X60Y127
NULL
NULL_X61Y127
NULL
NULL_X62Y127
NULL
NULL_X63Y127
NULL
NULL_X64Y127
NULL
NULL_X65Y127
NULL
NULL_X66Y127
NULL
NULL_X67Y127
NULL
NULL_X68Y127
NULL
NULL_X69Y127
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y127
INT_TERM
INT_X31Y117
TIEOFF TIEOFF_X55Y234
NULL
NULL_X72Y127
NULL
NULL_X73Y127
INT
INT_X32Y117
TIEOFF TIEOFF_X56Y234
CLEXM
CLEXM_X32Y117
SLICEM SLICE_X52Y117
SLICEX SLICE_X53Y117
INT
INT_X33Y117
TIEOFF TIEOFF_X58Y234
CLEXL
CLEXL_X33Y117
SLICEL SLICE_X54Y117
SLICEX SLICE_X55Y117
INT_BRAM
INT_BRAM_X34Y117
TIEOFF TIEOFF_X60Y234
INT_INTERFACE
INT_INTERFACE_X34Y117
NULL
NULL_X80Y127
INT
INT_X35Y117
TIEOFF TIEOFF_X61Y234
CLEXM
CLEXM_X35Y117
SLICEM SLICE_X56Y117
SLICEX SLICE_X57Y117
INT
INT_X36Y117
TIEOFF TIEOFF_X63Y234
CLEXL
CLEXL_X36Y117
SLICEL SLICE_X58Y117
SLICEX SLICE_X59Y117
INT
INT_X37Y117
TIEOFF TIEOFF_X65Y234
INT_INTERFACE
INT_INTERFACE_X37Y117
MCB_CAP_INT
MCB_CAP_INT_X37Y117
IOI_RTERM
IOI_RTERM_X88Y127
EMP_RIOB
EMP_RIOB_X37Y117
EMP_LIOB
EMP_LIOB_X0Y126
IOI_LTERM
IOI_LTERM_X1Y126
INT
INT_X0Y116
TIEOFF TIEOFF_X0Y232
INT_INTERFACE
INT_INTERFACE_X0Y116
MCB_CAP_INT
MCB_CAP_INT_X0Y116
INT
INT_X1Y116
TIEOFF TIEOFF_X2Y232
CLEXL
CLEXL_X1Y116
SLICEL SLICE_X0Y116
SLICEX SLICE_X1Y116
INT
INT_X2Y116
TIEOFF TIEOFF_X4Y232
CLEXM
CLEXM_X2Y116
SLICEM SLICE_X2Y116
SLICEX SLICE_X3Y116
INT_BRAM
INT_BRAM_X3Y116
TIEOFF TIEOFF_X6Y232
INT_INTERFACE
INT_INTERFACE_X3Y116
BRAMSITE2
BRAMSITE2_X3Y116
RAMB16BWER RAMB16_X0Y58
RAMB8BWER RAMB8_X0Y58
RAMB8BWER RAMB8_X0Y59
INT
INT_X4Y116
TIEOFF TIEOFF_X7Y232
CLEXL
CLEXL_X4Y116
SLICEL SLICE_X4Y116
SLICEX SLICE_X5Y116
INT
INT_X5Y116
TIEOFF TIEOFF_X9Y232
CLEXM
CLEXM_X5Y116
SLICEM SLICE_X6Y116
SLICEX SLICE_X7Y116
INT
INT_X6Y116
TIEOFF TIEOFF_X11Y232
INT_INTERFACE
INT_INTERFACE_X6Y116
MACCSITE2
MACCSITE2_X6Y116
DSP48A1 DSP48_X0Y29
INT
INT_X7Y116
TIEOFF TIEOFF_X12Y232
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y126
NULL
NULL_X21Y126
NULL
NULL_X22Y126
NULL
NULL_X23Y126
NULL
NULL_X24Y126
NULL
NULL_X25Y126
NULL
NULL_X26Y126
NULL
NULL_X27Y126
NULL
NULL_X28Y126
NULL
NULL_X29Y126
NULL
NULL_X30Y126
NULL
NULL_X31Y126
NULL
NULL_X32Y126
NULL
NULL_X33Y126
NULL
NULL_X34Y126
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y126
INT_TERM
INT_X15Y116
TIEOFF TIEOFF_X26Y232
NULL
NULL_X37Y126
INT
INT_X16Y116
TIEOFF TIEOFF_X28Y232
CLEXL
CLEXL_X16Y116
SLICEL SLICE_X24Y116
SLICEX SLICE_X25Y116
INT
INT_X17Y116
TIEOFF TIEOFF_X29Y232
CLEXM
CLEXM_X17Y116
SLICEM SLICE_X26Y116
SLICEX SLICE_X27Y116
INT
INT_X18Y116
TIEOFF TIEOFF_X31Y232
CLEXL
CLEXL_X18Y116
SLICEL SLICE_X28Y116
SLICEX SLICE_X29Y116
NULL
NULL_X44Y126
REG_V
REG_V_X18Y116
INT
INT_X19Y116
TIEOFF TIEOFF_X34Y232
CLEXM
CLEXM_X19Y116
SLICEM SLICE_X30Y116
SLICEX SLICE_X31Y116
INT
INT_X20Y116
TIEOFF TIEOFF_X36Y232
CLEXL
CLEXL_X20Y116
SLICEL SLICE_X32Y116
SLICEX SLICE_X33Y116
INT
INT_X21Y116
TIEOFF TIEOFF_X38Y232
CLEXM
CLEXM_X21Y116
SLICEM SLICE_X34Y116
SLICEX SLICE_X35Y116
INT
INT_X22Y116
TIEOFF TIEOFF_X39Y232
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y126
NULL
NULL_X54Y126
NULL
NULL_X55Y126
NULL
NULL_X56Y126
NULL
NULL_X57Y126
NULL
NULL_X58Y126
NULL
NULL_X59Y126
NULL
NULL_X60Y126
NULL
NULL_X61Y126
NULL
NULL_X62Y126
NULL
NULL_X63Y126
NULL
NULL_X64Y126
NULL
NULL_X65Y126
NULL
NULL_X66Y126
NULL
NULL_X67Y126
NULL
NULL_X68Y126
NULL
NULL_X69Y126
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y126
INT_TERM
INT_X31Y116
TIEOFF TIEOFF_X55Y232
NULL
NULL_X72Y126
NULL
NULL_X73Y126
INT
INT_X32Y116
TIEOFF TIEOFF_X56Y232
CLEXM
CLEXM_X32Y116
SLICEM SLICE_X52Y116
SLICEX SLICE_X53Y116
INT
INT_X33Y116
TIEOFF TIEOFF_X58Y232
CLEXL
CLEXL_X33Y116
SLICEL SLICE_X54Y116
SLICEX SLICE_X55Y116
INT_BRAM
INT_BRAM_X34Y116
TIEOFF TIEOFF_X60Y232
INT_INTERFACE
INT_INTERFACE_X34Y116
BRAMSITE2
BRAMSITE2_X34Y116
RAMB16BWER RAMB16_X3Y58
RAMB8BWER RAMB8_X3Y58
RAMB8BWER RAMB8_X3Y59
INT
INT_X35Y116
TIEOFF TIEOFF_X61Y232
CLEXM
CLEXM_X35Y116
SLICEM SLICE_X56Y116
SLICEX SLICE_X57Y116
INT
INT_X36Y116
TIEOFF TIEOFF_X63Y232
CLEXL
CLEXL_X36Y116
SLICEL SLICE_X58Y116
SLICEX SLICE_X59Y116
INT
INT_X37Y116
TIEOFF TIEOFF_X65Y232
INT_INTERFACE
INT_INTERFACE_X37Y116
MCB_CAP_INT
MCB_CAP_INT_X37Y116
IOI_RTERM
IOI_RTERM_X88Y126
EMP_RIOB
EMP_RIOB_X37Y116
LIOB
LIOB_X0Y115
IOBM PAD359
IOBS PAD360
IOI_LTERM
IOI_LTERM_X1Y125
LIOI_INT
LIOI_INT_X0Y115
TIEOFF TIEOFF_X0Y230
LIOI
LIOI_X0Y115
OLOGIC2 OLOGIC_X0Y104
IODELAY2 IODELAY_X0Y104
ILOGIC2 ILOGIC_X0Y104
OLOGIC2 OLOGIC_X0Y105
IODELAY2 IODELAY_X0Y105
ILOGIC2 ILOGIC_X0Y105
TIEOFF TIEOFF_X1Y230
MCB_CAP_INT
MCB_CAP_INT_X0Y115
INT
INT_X1Y115
TIEOFF TIEOFF_X2Y230
CLEXL
CLEXL_X1Y115
SLICEL SLICE_X0Y115
SLICEX SLICE_X1Y115
INT
INT_X2Y115
TIEOFF TIEOFF_X4Y230
CLEXM
CLEXM_X2Y115
SLICEM SLICE_X2Y115
SLICEX SLICE_X3Y115
INT_BRAM
INT_BRAM_X3Y115
TIEOFF TIEOFF_X6Y230
INT_INTERFACE
INT_INTERFACE_X3Y115
NULL
NULL_X11Y125
INT
INT_X4Y115
TIEOFF TIEOFF_X7Y230
CLEXL
CLEXL_X4Y115
SLICEL SLICE_X4Y115
SLICEX SLICE_X5Y115
INT
INT_X5Y115
TIEOFF TIEOFF_X9Y230
CLEXM
CLEXM_X5Y115
SLICEM SLICE_X6Y115
SLICEX SLICE_X7Y115
INT
INT_X6Y115
TIEOFF TIEOFF_X11Y230
INT_INTERFACE
INT_INTERFACE_X6Y115
NULL
NULL_X18Y125
INT
INT_X7Y115
TIEOFF TIEOFF_X12Y230
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y125
NULL
NULL_X21Y125
NULL
NULL_X22Y125
NULL
NULL_X23Y125
NULL
NULL_X24Y125
NULL
NULL_X25Y125
NULL
NULL_X26Y125
NULL
NULL_X27Y125
NULL
NULL_X28Y125
NULL
NULL_X29Y125
NULL
NULL_X30Y125
NULL
NULL_X31Y125
NULL
NULL_X32Y125
NULL
NULL_X33Y125
NULL
NULL_X34Y125
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y125
INT_TERM
INT_X15Y115
TIEOFF TIEOFF_X26Y230
NULL
NULL_X37Y125
INT
INT_X16Y115
TIEOFF TIEOFF_X28Y230
CLEXL
CLEXL_X16Y115
SLICEL SLICE_X24Y115
SLICEX SLICE_X25Y115
INT
INT_X17Y115
TIEOFF TIEOFF_X29Y230
CLEXM
CLEXM_X17Y115
SLICEM SLICE_X26Y115
SLICEX SLICE_X27Y115
INT
INT_X18Y115
TIEOFF TIEOFF_X31Y230
CLEXL
CLEXL_X18Y115
SLICEL SLICE_X28Y115
SLICEX SLICE_X29Y115
NULL
NULL_X44Y125
REG_V
REG_V_X18Y115
INT
INT_X19Y115
TIEOFF TIEOFF_X34Y230
CLEXM
CLEXM_X19Y115
SLICEM SLICE_X30Y115
SLICEX SLICE_X31Y115
INT
INT_X20Y115
TIEOFF TIEOFF_X36Y230
CLEXL
CLEXL_X20Y115
SLICEL SLICE_X32Y115
SLICEX SLICE_X33Y115
INT
INT_X21Y115
TIEOFF TIEOFF_X38Y230
CLEXM
CLEXM_X21Y115
SLICEM SLICE_X34Y115
SLICEX SLICE_X35Y115
INT
INT_X22Y115
TIEOFF TIEOFF_X39Y230
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y125
NULL
NULL_X54Y125
NULL
NULL_X55Y125
NULL
NULL_X56Y125
NULL
NULL_X57Y125
NULL
NULL_X58Y125
NULL
NULL_X59Y125
NULL
NULL_X60Y125
NULL
NULL_X61Y125
NULL
NULL_X62Y125
NULL
NULL_X63Y125
NULL
NULL_X64Y125
NULL
NULL_X65Y125
NULL
NULL_X66Y125
NULL
NULL_X67Y125
NULL
NULL_X68Y125
NULL
NULL_X69Y125
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y125
INT_TERM
INT_X31Y115
TIEOFF TIEOFF_X55Y230
NULL
NULL_X72Y125
NULL
NULL_X73Y125
INT
INT_X32Y115
TIEOFF TIEOFF_X56Y230
CLEXM
CLEXM_X32Y115
SLICEM SLICE_X52Y115
SLICEX SLICE_X53Y115
INT
INT_X33Y115
TIEOFF TIEOFF_X58Y230
CLEXL
CLEXL_X33Y115
SLICEL SLICE_X54Y115
SLICEX SLICE_X55Y115
INT_BRAM
INT_BRAM_X34Y115
TIEOFF TIEOFF_X60Y230
INT_INTERFACE
INT_INTERFACE_X34Y115
NULL
NULL_X80Y125
INT
INT_X35Y115
TIEOFF TIEOFF_X61Y230
CLEXM
CLEXM_X35Y115
SLICEM SLICE_X56Y115
SLICEX SLICE_X57Y115
INT
INT_X36Y115
TIEOFF TIEOFF_X63Y230
CLEXL
CLEXL_X36Y115
SLICEL SLICE_X58Y115
SLICEX SLICE_X59Y115
IOI_INT
IOI_INT_X37Y115
TIEOFF TIEOFF_X65Y230
RIOI
RIOI_X37Y115
OLOGIC2 OLOGIC_X27Y104
IODELAY2 IODELAY_X27Y104
ILOGIC2 ILOGIC_X27Y104
OLOGIC2 OLOGIC_X27Y105
IODELAY2 IODELAY_X27Y105
ILOGIC2 ILOGIC_X27Y105
TIEOFF TIEOFF_X66Y230
MCB_CAP_INT
MCB_CAP_INT_X37Y115
IOI_RTERM
IOI_RTERM_X88Y125
RIOB
RIOB_X37Y115
IOBS PAD58
IOBM PAD57
EMP_LIOB
EMP_LIOB_X0Y124
IOI_LTERM
IOI_LTERM_X1Y124
INT
INT_X0Y114
TIEOFF TIEOFF_X0Y228
INT_INTERFACE
INT_INTERFACE_X0Y114
MCB_CAP_INT
MCB_CAP_INT_X0Y114
INT
INT_X1Y114
TIEOFF TIEOFF_X2Y228
CLEXL
CLEXL_X1Y114
SLICEL SLICE_X0Y114
SLICEX SLICE_X1Y114
INT
INT_X2Y114
TIEOFF TIEOFF_X4Y228
CLEXM
CLEXM_X2Y114
SLICEM SLICE_X2Y114
SLICEX SLICE_X3Y114
INT_BRAM
INT_BRAM_X3Y114
TIEOFF TIEOFF_X6Y228
INT_INTERFACE
INT_INTERFACE_X3Y114
NULL
NULL_X11Y124
INT
INT_X4Y114
TIEOFF TIEOFF_X7Y228
CLEXL
CLEXL_X4Y114
SLICEL SLICE_X4Y114
SLICEX SLICE_X5Y114
INT
INT_X5Y114
TIEOFF TIEOFF_X9Y228
CLEXM
CLEXM_X5Y114
SLICEM SLICE_X6Y114
SLICEX SLICE_X7Y114
INT
INT_X6Y114
TIEOFF TIEOFF_X11Y228
INT_INTERFACE
INT_INTERFACE_X6Y114
NULL
NULL_X18Y124
INT
INT_X7Y114
TIEOFF TIEOFF_X12Y228
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y124
NULL
NULL_X21Y124
NULL
NULL_X22Y124
NULL
NULL_X23Y124
NULL
NULL_X24Y124
NULL
NULL_X25Y124
NULL
NULL_X26Y124
NULL
NULL_X27Y124
NULL
NULL_X28Y124
NULL
NULL_X29Y124
NULL
NULL_X30Y124
NULL
NULL_X31Y124
NULL
NULL_X32Y124
NULL
NULL_X33Y124
NULL
NULL_X34Y124
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y124
INT_TERM
INT_X15Y114
TIEOFF TIEOFF_X26Y228
NULL
NULL_X37Y124
INT
INT_X16Y114
TIEOFF TIEOFF_X28Y228
CLEXL
CLEXL_X16Y114
SLICEL SLICE_X24Y114
SLICEX SLICE_X25Y114
INT
INT_X17Y114
TIEOFF TIEOFF_X29Y228
CLEXM
CLEXM_X17Y114
SLICEM SLICE_X26Y114
SLICEX SLICE_X27Y114
INT
INT_X18Y114
TIEOFF TIEOFF_X31Y228
CLEXL
CLEXL_X18Y114
SLICEL SLICE_X28Y114
SLICEX SLICE_X29Y114
NULL
NULL_X44Y124
REG_V
REG_V_X18Y114
INT
INT_X19Y114
TIEOFF TIEOFF_X34Y228
CLEXM
CLEXM_X19Y114
SLICEM SLICE_X30Y114
SLICEX SLICE_X31Y114
INT
INT_X20Y114
TIEOFF TIEOFF_X36Y228
CLEXL
CLEXL_X20Y114
SLICEL SLICE_X32Y114
SLICEX SLICE_X33Y114
INT
INT_X21Y114
TIEOFF TIEOFF_X38Y228
CLEXM
CLEXM_X21Y114
SLICEM SLICE_X34Y114
SLICEX SLICE_X35Y114
INT
INT_X22Y114
TIEOFF TIEOFF_X39Y228
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y124
NULL
NULL_X54Y124
NULL
NULL_X55Y124
NULL
NULL_X56Y124
NULL
NULL_X57Y124
NULL
NULL_X58Y124
NULL
NULL_X59Y124
NULL
NULL_X60Y124
NULL
NULL_X61Y124
NULL
NULL_X62Y124
NULL
NULL_X63Y124
NULL
NULL_X64Y124
NULL
NULL_X65Y124
NULL
NULL_X66Y124
NULL
NULL_X67Y124
NULL
NULL_X68Y124
NULL
NULL_X69Y124
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y124
INT_TERM
INT_X31Y114
TIEOFF TIEOFF_X55Y228
NULL
NULL_X72Y124
NULL
NULL_X73Y124
INT
INT_X32Y114
TIEOFF TIEOFF_X56Y228
CLEXM
CLEXM_X32Y114
SLICEM SLICE_X52Y114
SLICEX SLICE_X53Y114
INT
INT_X33Y114
TIEOFF TIEOFF_X58Y228
CLEXL
CLEXL_X33Y114
SLICEL SLICE_X54Y114
SLICEX SLICE_X55Y114
INT_BRAM
INT_BRAM_X34Y114
TIEOFF TIEOFF_X60Y228
INT_INTERFACE
INT_INTERFACE_X34Y114
NULL
NULL_X80Y124
INT
INT_X35Y114
TIEOFF TIEOFF_X61Y228
CLEXM
CLEXM_X35Y114
SLICEM SLICE_X56Y114
SLICEX SLICE_X57Y114
INT
INT_X36Y114
TIEOFF TIEOFF_X63Y228
CLEXL
CLEXL_X36Y114
SLICEL SLICE_X58Y114
SLICEX SLICE_X59Y114
INT
INT_X37Y114
TIEOFF TIEOFF_X65Y228
INT_INTERFACE
INT_INTERFACE_X37Y114
MCB_CAP_INT
MCB_CAP_INT_X37Y114
IOI_RTERM
IOI_RTERM_X88Y124
EMP_RIOB
EMP_RIOB_X37Y114
LIOB
LIOB_X0Y113
IOBM PAD357
IOBS PAD358
IOI_LTERM
IOI_LTERM_X1Y123
LIOI_INT
LIOI_INT_X0Y113
TIEOFF TIEOFF_X0Y226
LIOI
LIOI_X0Y113
OLOGIC2 OLOGIC_X0Y102
IODELAY2 IODELAY_X0Y102
ILOGIC2 ILOGIC_X0Y102
OLOGIC2 OLOGIC_X0Y103
IODELAY2 IODELAY_X0Y103
ILOGIC2 ILOGIC_X0Y103
TIEOFF TIEOFF_X1Y226
MCB_CAP_INT
MCB_CAP_INT_X0Y113
INT
INT_X1Y113
TIEOFF TIEOFF_X2Y226
CLEXL
CLEXL_X1Y113
SLICEL SLICE_X0Y113
SLICEX SLICE_X1Y113
INT
INT_X2Y113
TIEOFF TIEOFF_X4Y226
CLEXM
CLEXM_X2Y113
SLICEM SLICE_X2Y113
SLICEX SLICE_X3Y113
INT_BRAM
INT_BRAM_X3Y113
TIEOFF TIEOFF_X6Y226
INT_INTERFACE
INT_INTERFACE_X3Y113
NULL
NULL_X11Y123
INT
INT_X4Y113
TIEOFF TIEOFF_X7Y226
CLEXL
CLEXL_X4Y113
SLICEL SLICE_X4Y113
SLICEX SLICE_X5Y113
INT
INT_X5Y113
TIEOFF TIEOFF_X9Y226
CLEXM
CLEXM_X5Y113
SLICEM SLICE_X6Y113
SLICEX SLICE_X7Y113
INT
INT_X6Y113
TIEOFF TIEOFF_X11Y226
INT_INTERFACE
INT_INTERFACE_X6Y113
NULL
NULL_X18Y123
INT
INT_X7Y113
TIEOFF TIEOFF_X12Y226
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y123
NULL
NULL_X21Y123
NULL
NULL_X22Y123
NULL
NULL_X23Y123
NULL
NULL_X24Y123
NULL
NULL_X25Y123
NULL
NULL_X26Y123
NULL
NULL_X27Y123
NULL
NULL_X28Y123
NULL
NULL_X29Y123
NULL
NULL_X30Y123
NULL
NULL_X31Y123
NULL
NULL_X32Y123
NULL
NULL_X33Y123
NULL
NULL_X34Y123
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y123
INT_TERM
INT_X15Y113
TIEOFF TIEOFF_X26Y226
NULL
NULL_X37Y123
INT
INT_X16Y113
TIEOFF TIEOFF_X28Y226
CLEXL
CLEXL_X16Y113
SLICEL SLICE_X24Y113
SLICEX SLICE_X25Y113
INT
INT_X17Y113
TIEOFF TIEOFF_X29Y226
CLEXM
CLEXM_X17Y113
SLICEM SLICE_X26Y113
SLICEX SLICE_X27Y113
INT
INT_X18Y113
TIEOFF TIEOFF_X31Y226
CLEXL
CLEXL_X18Y113
SLICEL SLICE_X28Y113
SLICEX SLICE_X29Y113
NULL
NULL_X44Y123
REG_V
REG_V_X18Y113
INT
INT_X19Y113
TIEOFF TIEOFF_X34Y226
CLEXM
CLEXM_X19Y113
SLICEM SLICE_X30Y113
SLICEX SLICE_X31Y113
INT
INT_X20Y113
TIEOFF TIEOFF_X36Y226
CLEXL
CLEXL_X20Y113
SLICEL SLICE_X32Y113
SLICEX SLICE_X33Y113
INT
INT_X21Y113
TIEOFF TIEOFF_X38Y226
CLEXM
CLEXM_X21Y113
SLICEM SLICE_X34Y113
SLICEX SLICE_X35Y113
INT
INT_X22Y113
TIEOFF TIEOFF_X39Y226
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y123
NULL
NULL_X54Y123
NULL
NULL_X55Y123
NULL
NULL_X56Y123
NULL
NULL_X57Y123
NULL
NULL_X58Y123
NULL
NULL_X59Y123
NULL
NULL_X60Y123
NULL
NULL_X61Y123
NULL
NULL_X62Y123
NULL
NULL_X63Y123
NULL
NULL_X64Y123
NULL
NULL_X65Y123
NULL
NULL_X66Y123
NULL
NULL_X67Y123
NULL
NULL_X68Y123
NULL
NULL_X69Y123
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y123
INT_TERM
INT_X31Y113
TIEOFF TIEOFF_X55Y226
NULL
NULL_X72Y123
NULL
NULL_X73Y123
INT
INT_X32Y113
TIEOFF TIEOFF_X56Y226
CLEXM
CLEXM_X32Y113
SLICEM SLICE_X52Y113
SLICEX SLICE_X53Y113
INT
INT_X33Y113
TIEOFF TIEOFF_X58Y226
CLEXL
CLEXL_X33Y113
SLICEL SLICE_X54Y113
SLICEX SLICE_X55Y113
INT_BRAM
INT_BRAM_X34Y113
TIEOFF TIEOFF_X60Y226
INT_INTERFACE
INT_INTERFACE_X34Y113
NULL
NULL_X80Y123
INT
INT_X35Y113
TIEOFF TIEOFF_X61Y226
CLEXM
CLEXM_X35Y113
SLICEM SLICE_X56Y113
SLICEX SLICE_X57Y113
INT
INT_X36Y113
TIEOFF TIEOFF_X63Y226
CLEXL
CLEXL_X36Y113
SLICEL SLICE_X58Y113
SLICEX SLICE_X59Y113
IOI_INT
IOI_INT_X37Y113
TIEOFF TIEOFF_X65Y226
RIOI
RIOI_X37Y113
OLOGIC2 OLOGIC_X27Y102
IODELAY2 IODELAY_X27Y102
ILOGIC2 ILOGIC_X27Y102
OLOGIC2 OLOGIC_X27Y103
IODELAY2 IODELAY_X27Y103
ILOGIC2 ILOGIC_X27Y103
TIEOFF TIEOFF_X66Y226
MCB_CAP_INT
MCB_CAP_INT_X37Y113
IOI_RTERM
IOI_RTERM_X88Y123
RIOB
RIOB_X37Y113
IOBS PAD60
IOBM PAD59
EMP_LIOB
EMP_LIOB_X0Y122
IOI_LTERM
IOI_LTERM_X1Y122
INT_BRK
INT_X0Y112
TIEOFF TIEOFF_X0Y224
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X0Y112
MCB_CAP_INT
MCB_CAP_INT_X0Y112
INT_BRK
INT_X1Y112
TIEOFF TIEOFF_X2Y224
CLEXL
CLEXL_X1Y112
SLICEL SLICE_X0Y112
SLICEX SLICE_X1Y112
INT_BRK
INT_X2Y112
TIEOFF TIEOFF_X4Y224
CLEXM
CLEXM_X2Y112
SLICEM SLICE_X2Y112
SLICEX SLICE_X3Y112
INT_BRAM_BRK
INT_BRAM_BRK_X3Y112
TIEOFF TIEOFF_X6Y224
INT_INTERFACE
INT_INTERFACE_X3Y112
BRAMSITE2
BRAMSITE2_X3Y112
RAMB16BWER RAMB16_X0Y56
RAMB8BWER RAMB8_X0Y56
RAMB8BWER RAMB8_X0Y57
INT_BRK
INT_X4Y112
TIEOFF TIEOFF_X7Y224
CLEXL
CLEXL_X4Y112
SLICEL SLICE_X4Y112
SLICEX SLICE_X5Y112
INT_BRK
INT_X5Y112
TIEOFF TIEOFF_X9Y224
CLEXM
CLEXM_X5Y112
SLICEM SLICE_X6Y112
SLICEX SLICE_X7Y112
INT_BRK
INT_X6Y112
TIEOFF TIEOFF_X11Y224
INT_INTERFACE
INT_INTERFACE_X6Y112
MACCSITE2
MACCSITE2_X6Y112
DSP48A1 DSP48_X0Y28
INT_BRK
INT_X7Y112
TIEOFF TIEOFF_X12Y224
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X20Y122
NULL
NULL_X21Y122
NULL
NULL_X22Y122
NULL
NULL_X23Y122
NULL
NULL_X24Y122
NULL
NULL_X25Y122
NULL
NULL_X26Y122
NULL
NULL_X27Y122
NULL
NULL_X28Y122
NULL
NULL_X29Y122
NULL
NULL_X30Y122
NULL
NULL_X31Y122
NULL
NULL_X32Y122
NULL
NULL_X33Y122
NULL
NULL_X34Y122
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X35Y122
INT_TERM_BRK
INT_X15Y112
TIEOFF TIEOFF_X26Y224
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X15Y112
INT_BRK
INT_X16Y112
TIEOFF TIEOFF_X28Y224
CLEXL
CLEXL_X16Y112
SLICEL SLICE_X24Y112
SLICEX SLICE_X25Y112
INT_BRK
INT_X17Y112
TIEOFF TIEOFF_X29Y224
CLEXM
CLEXM_X17Y112
SLICEM SLICE_X26Y112
SLICEX SLICE_X27Y112
INT_BRK
INT_X18Y112
TIEOFF TIEOFF_X31Y224
CLEXL
CLEXL_X18Y112
SLICEL SLICE_X28Y112
SLICEX SLICE_X29Y112
NULL
NULL_X44Y122
REG_V_BRK
REG_V_BRK_X18Y112
INT_BRK
INT_X19Y112
TIEOFF TIEOFF_X34Y224
CLEXM
CLEXM_X19Y112
SLICEM SLICE_X30Y112
SLICEX SLICE_X31Y112
INT_BRK
INT_X20Y112
TIEOFF TIEOFF_X36Y224
CLEXL
CLEXL_X20Y112
SLICEL SLICE_X32Y112
SLICEX SLICE_X33Y112
INT_BRK
INT_X21Y112
TIEOFF TIEOFF_X38Y224
CLEXM
CLEXM_X21Y112
SLICEM SLICE_X34Y112
SLICEX SLICE_X35Y112
INT_BRK
INT_X22Y112
TIEOFF TIEOFF_X39Y224
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X53Y122
NULL
NULL_X54Y122
NULL
NULL_X55Y122
NULL
NULL_X56Y122
NULL
NULL_X57Y122
NULL
NULL_X58Y122
NULL
NULL_X59Y122
NULL
NULL_X60Y122
NULL
NULL_X61Y122
NULL
NULL_X62Y122
NULL
NULL_X63Y122
NULL
NULL_X64Y122
NULL
NULL_X65Y122
NULL
NULL_X66Y122
NULL
NULL_X67Y122
NULL
NULL_X68Y122
NULL
NULL_X69Y122
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X70Y122
INT_TERM_BRK
INT_X31Y112
TIEOFF TIEOFF_X55Y224
GTPDUAL_LEFT_CLB_FEEDTHRU
GTPDUAL_LEFT_CLB_FEEDTHRU_X31Y112
NULL
NULL_X73Y122
INT_BRK
INT_X32Y112
TIEOFF TIEOFF_X56Y224
CLEXM
CLEXM_X32Y112
SLICEM SLICE_X52Y112
SLICEX SLICE_X53Y112
INT_BRK
INT_X33Y112
TIEOFF TIEOFF_X58Y224
CLEXL
CLEXL_X33Y112
SLICEL SLICE_X54Y112
SLICEX SLICE_X55Y112
INT_BRAM_BRK
INT_BRAM_BRK_X34Y112
TIEOFF TIEOFF_X60Y224
INT_INTERFACE
INT_INTERFACE_X34Y112
BRAMSITE2
BRAMSITE2_X34Y112
RAMB16BWER RAMB16_X3Y56
RAMB8BWER RAMB8_X3Y56
RAMB8BWER RAMB8_X3Y57
INT_BRK
INT_X35Y112
TIEOFF TIEOFF_X61Y224
CLEXM
CLEXM_X35Y112
SLICEM SLICE_X56Y112
SLICEX SLICE_X57Y112
INT_BRK
INT_X36Y112
TIEOFF TIEOFF_X63Y224
CLEXL
CLEXL_X36Y112
SLICEL SLICE_X58Y112
SLICEX SLICE_X59Y112
INT_BRK
INT_X37Y112
TIEOFF TIEOFF_X65Y224
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X37Y112
MCB_CAP_INT
MCB_CAP_INT_X37Y112
IOI_RTERM
IOI_RTERM_X88Y122
EMP_RIOB
EMP_RIOB_X37Y112
LIOB
LIOB_X0Y111
IOBM PAD355
IOBS PAD356
IOI_LTERM
IOI_LTERM_X1Y121
LIOI_INT
LIOI_INT_X0Y111
TIEOFF TIEOFF_X0Y222
LIOI
LIOI_X0Y111
OLOGIC2 OLOGIC_X0Y100
IODELAY2 IODELAY_X0Y100
ILOGIC2 ILOGIC_X0Y100
OLOGIC2 OLOGIC_X0Y101
IODELAY2 IODELAY_X0Y101
ILOGIC2 ILOGIC_X0Y101
TIEOFF TIEOFF_X1Y222
MCB_CAP_INT
MCB_CAP_INT_X0Y111
INT
INT_X1Y111
TIEOFF TIEOFF_X2Y222
CLEXL
CLEXL_X1Y111
SLICEL SLICE_X0Y111
SLICEX SLICE_X1Y111
INT
INT_X2Y111
TIEOFF TIEOFF_X4Y222
CLEXM
CLEXM_X2Y111
SLICEM SLICE_X2Y111
SLICEX SLICE_X3Y111
INT_BRAM
INT_BRAM_X3Y111
TIEOFF TIEOFF_X6Y222
INT_INTERFACE
INT_INTERFACE_X3Y111
NULL
NULL_X11Y121
INT
INT_X4Y111
TIEOFF TIEOFF_X7Y222
CLEXL
CLEXL_X4Y111
SLICEL SLICE_X4Y111
SLICEX SLICE_X5Y111
INT
INT_X5Y111
TIEOFF TIEOFF_X9Y222
CLEXM
CLEXM_X5Y111
SLICEM SLICE_X6Y111
SLICEX SLICE_X7Y111
INT
INT_X6Y111
TIEOFF TIEOFF_X11Y222
INT_INTERFACE
INT_INTERFACE_X6Y111
NULL
NULL_X18Y121
INT
INT_X7Y111
TIEOFF TIEOFF_X12Y222
CLEXL
CLEXL_X7Y111
SLICEL SLICE_X8Y111
SLICEX SLICE_X9Y111
INT
INT_X8Y111
TIEOFF TIEOFF_X14Y222
CLEXM
CLEXM_X8Y111
SLICEM SLICE_X10Y111
SLICEX SLICE_X11Y111
INT
INT_X9Y111
TIEOFF TIEOFF_X16Y222
CLEXL
CLEXL_X9Y111
SLICEL SLICE_X12Y111
SLICEX SLICE_X13Y111
INT
INT_X10Y111
TIEOFF TIEOFF_X17Y222
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y121
NULL
NULL_X27Y121
NULL
NULL_X28Y121
NULL
NULL_X29Y121
NULL
NULL_X30Y121
NULL
NULL_X31Y121
NULL
NULL_X32Y121
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y121
INT_TERM
INT_X14Y111
TIEOFF TIEOFF_X24Y222
NULL
NULL_X35Y121
INT
INT_X15Y111
TIEOFF TIEOFF_X26Y222
CLEXM
CLEXM_X15Y111
SLICEM SLICE_X22Y111
SLICEX SLICE_X23Y111
INT
INT_X16Y111
TIEOFF TIEOFF_X28Y222
CLEXL
CLEXL_X16Y111
SLICEL SLICE_X24Y111
SLICEX SLICE_X25Y111
INT
INT_X17Y111
TIEOFF TIEOFF_X29Y222
CLEXM
CLEXM_X17Y111
SLICEM SLICE_X26Y111
SLICEX SLICE_X27Y111
INT
INT_X18Y111
TIEOFF TIEOFF_X31Y222
CLEXL
CLEXL_X18Y111
SLICEL SLICE_X28Y111
SLICEX SLICE_X29Y111
NULL
NULL_X44Y121
REG_V
REG_V_X18Y111
INT
INT_X19Y111
TIEOFF TIEOFF_X34Y222
CLEXM
CLEXM_X19Y111
SLICEM SLICE_X30Y111
SLICEX SLICE_X31Y111
INT
INT_X20Y111
TIEOFF TIEOFF_X36Y222
CLEXL
CLEXL_X20Y111
SLICEL SLICE_X32Y111
SLICEX SLICE_X33Y111
INT
INT_X21Y111
TIEOFF TIEOFF_X38Y222
CLEXM
CLEXM_X21Y111
SLICEM SLICE_X34Y111
SLICEX SLICE_X35Y111
INT
INT_X22Y111
TIEOFF TIEOFF_X39Y222
CLEXL
CLEXL_X22Y111
SLICEL SLICE_X36Y111
SLICEX SLICE_X37Y111
INT
INT_X23Y111
TIEOFF TIEOFF_X41Y222
CLEXM
CLEXM_X23Y111
SLICEM SLICE_X38Y111
SLICEX SLICE_X39Y111
INT
INT_X24Y111
TIEOFF TIEOFF_X43Y222
CLEXL
CLEXL_X24Y111
SLICEL SLICE_X40Y111
SLICEX SLICE_X41Y111
INT_BRAM
INT_BRAM_X25Y111
TIEOFF TIEOFF_X45Y222
INT_INTERFACE
INT_INTERFACE_X25Y111
NULL
NULL_X60Y121
INT
INT_X26Y111
TIEOFF TIEOFF_X46Y222
CLEXL
CLEXL_X26Y111
SLICEL SLICE_X42Y111
SLICEX SLICE_X43Y111
INT
INT_X27Y111
TIEOFF TIEOFF_X48Y222
CLEXM
CLEXM_X27Y111
SLICEM SLICE_X44Y111
SLICEX SLICE_X45Y111
INT
INT_X28Y111
TIEOFF TIEOFF_X50Y222
CLEXL
CLEXL_X28Y111
SLICEL SLICE_X46Y111
SLICEX SLICE_X47Y111
INT
INT_X29Y111
TIEOFF TIEOFF_X51Y222
CLEXM
CLEXM_X29Y111
SLICEM SLICE_X48Y111
SLICEX SLICE_X49Y111
INT
INT_X30Y111
TIEOFF TIEOFF_X53Y222
CLEXL
CLEXL_X30Y111
SLICEL SLICE_X50Y111
SLICEX SLICE_X51Y111
INT
INT_X31Y111
TIEOFF TIEOFF_X55Y222
INT_INTERFACE
INT_INTERFACE_X31Y111
NULL
NULL_X73Y121
INT
INT_X32Y111
TIEOFF TIEOFF_X56Y222
CLEXM
CLEXM_X32Y111
SLICEM SLICE_X52Y111
SLICEX SLICE_X53Y111
INT
INT_X33Y111
TIEOFF TIEOFF_X58Y222
CLEXL
CLEXL_X33Y111
SLICEL SLICE_X54Y111
SLICEX SLICE_X55Y111
INT_BRAM
INT_BRAM_X34Y111
TIEOFF TIEOFF_X60Y222
INT_INTERFACE
INT_INTERFACE_X34Y111
NULL
NULL_X80Y121
INT
INT_X35Y111
TIEOFF TIEOFF_X61Y222
CLEXM
CLEXM_X35Y111
SLICEM SLICE_X56Y111
SLICEX SLICE_X57Y111
INT
INT_X36Y111
TIEOFF TIEOFF_X63Y222
CLEXL
CLEXL_X36Y111
SLICEL SLICE_X58Y111
SLICEX SLICE_X59Y111
IOI_INT
IOI_INT_X37Y111
TIEOFF TIEOFF_X65Y222
RIOI
RIOI_X37Y111
OLOGIC2 OLOGIC_X27Y100
IODELAY2 IODELAY_X27Y100
ILOGIC2 ILOGIC_X27Y100
OLOGIC2 OLOGIC_X27Y101
IODELAY2 IODELAY_X27Y101
ILOGIC2 ILOGIC_X27Y101
TIEOFF TIEOFF_X66Y222
MCB_CAP_INT
MCB_CAP_INT_X37Y111
IOI_RTERM
IOI_RTERM_X88Y121
RIOB
RIOB_X37Y111
IOBS PAD62
IOBM PAD61
EMP_LIOB
EMP_LIOB_X0Y120
IOI_LTERM
IOI_LTERM_X1Y120
INT
INT_X0Y110
TIEOFF TIEOFF_X0Y220
INT_INTERFACE
INT_INTERFACE_X0Y110
MCB_CAP_INT
MCB_CAP_INT_X0Y110
INT
INT_X1Y110
TIEOFF TIEOFF_X2Y220
CLEXL
CLEXL_X1Y110
SLICEL SLICE_X0Y110
SLICEX SLICE_X1Y110
INT
INT_X2Y110
TIEOFF TIEOFF_X4Y220
CLEXM
CLEXM_X2Y110
SLICEM SLICE_X2Y110
SLICEX SLICE_X3Y110
INT_BRAM
INT_BRAM_X3Y110
TIEOFF TIEOFF_X6Y220
INT_INTERFACE
INT_INTERFACE_X3Y110
NULL
NULL_X11Y120
INT
INT_X4Y110
TIEOFF TIEOFF_X7Y220
CLEXL
CLEXL_X4Y110
SLICEL SLICE_X4Y110
SLICEX SLICE_X5Y110
INT
INT_X5Y110
TIEOFF TIEOFF_X9Y220
CLEXM
CLEXM_X5Y110
SLICEM SLICE_X6Y110
SLICEX SLICE_X7Y110
INT
INT_X6Y110
TIEOFF TIEOFF_X11Y220
INT_INTERFACE
INT_INTERFACE_X6Y110
NULL
NULL_X18Y120
INT
INT_X7Y110
TIEOFF TIEOFF_X12Y220
CLEXL
CLEXL_X7Y110
SLICEL SLICE_X8Y110
SLICEX SLICE_X9Y110
INT
INT_X8Y110
TIEOFF TIEOFF_X14Y220
CLEXM
CLEXM_X8Y110
SLICEM SLICE_X10Y110
SLICEX SLICE_X11Y110
INT
INT_X9Y110
TIEOFF TIEOFF_X16Y220
CLEXL
CLEXL_X9Y110
SLICEL SLICE_X12Y110
SLICEX SLICE_X13Y110
INT
INT_X10Y110
TIEOFF TIEOFF_X17Y220
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y120
NULL
NULL_X27Y120
NULL
NULL_X28Y120
NULL
NULL_X29Y120
NULL
NULL_X30Y120
NULL
NULL_X31Y120
NULL
NULL_X32Y120
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y120
INT_TERM
INT_X14Y110
TIEOFF TIEOFF_X24Y220
NULL
NULL_X35Y120
INT
INT_X15Y110
TIEOFF TIEOFF_X26Y220
CLEXM
CLEXM_X15Y110
SLICEM SLICE_X22Y110
SLICEX SLICE_X23Y110
INT
INT_X16Y110
TIEOFF TIEOFF_X28Y220
CLEXL
CLEXL_X16Y110
SLICEL SLICE_X24Y110
SLICEX SLICE_X25Y110
INT
INT_X17Y110
TIEOFF TIEOFF_X29Y220
CLEXM
CLEXM_X17Y110
SLICEM SLICE_X26Y110
SLICEX SLICE_X27Y110
INT
INT_X18Y110
TIEOFF TIEOFF_X31Y220
CLEXL
CLEXL_X18Y110
SLICEL SLICE_X28Y110
SLICEX SLICE_X29Y110
NULL
NULL_X44Y120
REG_V
REG_V_X18Y110
INT
INT_X19Y110
TIEOFF TIEOFF_X34Y220
CLEXM
CLEXM_X19Y110
SLICEM SLICE_X30Y110
SLICEX SLICE_X31Y110
INT
INT_X20Y110
TIEOFF TIEOFF_X36Y220
CLEXL
CLEXL_X20Y110
SLICEL SLICE_X32Y110
SLICEX SLICE_X33Y110
INT
INT_X21Y110
TIEOFF TIEOFF_X38Y220
CLEXM
CLEXM_X21Y110
SLICEM SLICE_X34Y110
SLICEX SLICE_X35Y110
INT
INT_X22Y110
TIEOFF TIEOFF_X39Y220
CLEXL
CLEXL_X22Y110
SLICEL SLICE_X36Y110
SLICEX SLICE_X37Y110
INT
INT_X23Y110
TIEOFF TIEOFF_X41Y220
CLEXM
CLEXM_X23Y110
SLICEM SLICE_X38Y110
SLICEX SLICE_X39Y110
INT
INT_X24Y110
TIEOFF TIEOFF_X43Y220
CLEXL
CLEXL_X24Y110
SLICEL SLICE_X40Y110
SLICEX SLICE_X41Y110
INT_BRAM
INT_BRAM_X25Y110
TIEOFF TIEOFF_X45Y220
INT_INTERFACE
INT_INTERFACE_X25Y110
NULL
NULL_X60Y120
INT
INT_X26Y110
TIEOFF TIEOFF_X46Y220
CLEXL
CLEXL_X26Y110
SLICEL SLICE_X42Y110
SLICEX SLICE_X43Y110
INT
INT_X27Y110
TIEOFF TIEOFF_X48Y220
CLEXM
CLEXM_X27Y110
SLICEM SLICE_X44Y110
SLICEX SLICE_X45Y110
INT
INT_X28Y110
TIEOFF TIEOFF_X50Y220
CLEXL
CLEXL_X28Y110
SLICEL SLICE_X46Y110
SLICEX SLICE_X47Y110
INT
INT_X29Y110
TIEOFF TIEOFF_X51Y220
CLEXM
CLEXM_X29Y110
SLICEM SLICE_X48Y110
SLICEX SLICE_X49Y110
INT
INT_X30Y110
TIEOFF TIEOFF_X53Y220
CLEXL
CLEXL_X30Y110
SLICEL SLICE_X50Y110
SLICEX SLICE_X51Y110
INT
INT_X31Y110
TIEOFF TIEOFF_X55Y220
INT_INTERFACE
INT_INTERFACE_X31Y110
NULL
NULL_X73Y120
INT
INT_X32Y110
TIEOFF TIEOFF_X56Y220
CLEXM
CLEXM_X32Y110
SLICEM SLICE_X52Y110
SLICEX SLICE_X53Y110
INT
INT_X33Y110
TIEOFF TIEOFF_X58Y220
CLEXL
CLEXL_X33Y110
SLICEL SLICE_X54Y110
SLICEX SLICE_X55Y110
INT_BRAM
INT_BRAM_X34Y110
TIEOFF TIEOFF_X60Y220
INT_INTERFACE
INT_INTERFACE_X34Y110
NULL
NULL_X80Y120
INT
INT_X35Y110
TIEOFF TIEOFF_X61Y220
CLEXM
CLEXM_X35Y110
SLICEM SLICE_X56Y110
SLICEX SLICE_X57Y110
INT
INT_X36Y110
TIEOFF TIEOFF_X63Y220
CLEXL
CLEXL_X36Y110
SLICEL SLICE_X58Y110
SLICEX SLICE_X59Y110
INT
INT_X37Y110
TIEOFF TIEOFF_X65Y220
INT_INTERFACE
INT_INTERFACE_X37Y110
MCB_CAP_INT
MCB_CAP_INT_X37Y110
IOI_RTERM
IOI_RTERM_X88Y120
EMP_RIOB
EMP_RIOB_X37Y110
EMP_LIOB
EMP_LIOB_X0Y119
IOI_LTERM
IOI_LTERM_X1Y119
INT
INT_X0Y109
TIEOFF TIEOFF_X0Y218
INT_INTERFACE
INT_INTERFACE_X0Y109
MCB_CAP_INT
MCB_CAP_INT_X0Y109
INT
INT_X1Y109
TIEOFF TIEOFF_X2Y218
CLEXL
CLEXL_X1Y109
SLICEL SLICE_X0Y109
SLICEX SLICE_X1Y109
INT
INT_X2Y109
TIEOFF TIEOFF_X4Y218
CLEXM
CLEXM_X2Y109
SLICEM SLICE_X2Y109
SLICEX SLICE_X3Y109
INT_BRAM
INT_BRAM_X3Y109
TIEOFF TIEOFF_X6Y218
INT_INTERFACE
INT_INTERFACE_X3Y109
NULL
NULL_X11Y119
INT
INT_X4Y109
TIEOFF TIEOFF_X7Y218
CLEXL
CLEXL_X4Y109
SLICEL SLICE_X4Y109
SLICEX SLICE_X5Y109
INT
INT_X5Y109
TIEOFF TIEOFF_X9Y218
CLEXM
CLEXM_X5Y109
SLICEM SLICE_X6Y109
SLICEX SLICE_X7Y109
INT
INT_X6Y109
TIEOFF TIEOFF_X11Y218
INT_INTERFACE
INT_INTERFACE_X6Y109
NULL
NULL_X18Y119
INT
INT_X7Y109
TIEOFF TIEOFF_X12Y218
CLEXL
CLEXL_X7Y109
SLICEL SLICE_X8Y109
SLICEX SLICE_X9Y109
INT
INT_X8Y109
TIEOFF TIEOFF_X14Y218
CLEXM
CLEXM_X8Y109
SLICEM SLICE_X10Y109
SLICEX SLICE_X11Y109
INT
INT_X9Y109
TIEOFF TIEOFF_X16Y218
CLEXL
CLEXL_X9Y109
SLICEL SLICE_X12Y109
SLICEX SLICE_X13Y109
INT
INT_X10Y109
TIEOFF TIEOFF_X17Y218
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y119
NULL
NULL_X27Y119
NULL
NULL_X28Y119
NULL
NULL_X29Y119
NULL
NULL_X30Y119
NULL
NULL_X31Y119
NULL
NULL_X32Y119
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y119
INT_TERM
INT_X14Y109
TIEOFF TIEOFF_X24Y218
NULL
NULL_X35Y119
INT
INT_X15Y109
TIEOFF TIEOFF_X26Y218
CLEXM
CLEXM_X15Y109
SLICEM SLICE_X22Y109
SLICEX SLICE_X23Y109
INT
INT_X16Y109
TIEOFF TIEOFF_X28Y218
CLEXL
CLEXL_X16Y109
SLICEL SLICE_X24Y109
SLICEX SLICE_X25Y109
INT
INT_X17Y109
TIEOFF TIEOFF_X29Y218
CLEXM
CLEXM_X17Y109
SLICEM SLICE_X26Y109
SLICEX SLICE_X27Y109
INT
INT_X18Y109
TIEOFF TIEOFF_X31Y218
CLEXL
CLEXL_X18Y109
SLICEL SLICE_X28Y109
SLICEX SLICE_X29Y109
NULL
NULL_X44Y119
REG_V
REG_V_X18Y109
INT
INT_X19Y109
TIEOFF TIEOFF_X34Y218
CLEXM
CLEXM_X19Y109
SLICEM SLICE_X30Y109
SLICEX SLICE_X31Y109
INT
INT_X20Y109
TIEOFF TIEOFF_X36Y218
CLEXL
CLEXL_X20Y109
SLICEL SLICE_X32Y109
SLICEX SLICE_X33Y109
INT
INT_X21Y109
TIEOFF TIEOFF_X38Y218
CLEXM
CLEXM_X21Y109
SLICEM SLICE_X34Y109
SLICEX SLICE_X35Y109
INT
INT_X22Y109
TIEOFF TIEOFF_X39Y218
CLEXL
CLEXL_X22Y109
SLICEL SLICE_X36Y109
SLICEX SLICE_X37Y109
INT
INT_X23Y109
TIEOFF TIEOFF_X41Y218
CLEXM
CLEXM_X23Y109
SLICEM SLICE_X38Y109
SLICEX SLICE_X39Y109
INT
INT_X24Y109
TIEOFF TIEOFF_X43Y218
CLEXL
CLEXL_X24Y109
SLICEL SLICE_X40Y109
SLICEX SLICE_X41Y109
INT_BRAM
INT_BRAM_X25Y109
TIEOFF TIEOFF_X45Y218
INT_INTERFACE
INT_INTERFACE_X25Y109
NULL
NULL_X60Y119
INT
INT_X26Y109
TIEOFF TIEOFF_X46Y218
CLEXL
CLEXL_X26Y109
SLICEL SLICE_X42Y109
SLICEX SLICE_X43Y109
INT
INT_X27Y109
TIEOFF TIEOFF_X48Y218
CLEXM
CLEXM_X27Y109
SLICEM SLICE_X44Y109
SLICEX SLICE_X45Y109
INT
INT_X28Y109
TIEOFF TIEOFF_X50Y218
CLEXL
CLEXL_X28Y109
SLICEL SLICE_X46Y109
SLICEX SLICE_X47Y109
INT
INT_X29Y109
TIEOFF TIEOFF_X51Y218
CLEXM
CLEXM_X29Y109
SLICEM SLICE_X48Y109
SLICEX SLICE_X49Y109
INT
INT_X30Y109
TIEOFF TIEOFF_X53Y218
CLEXL
CLEXL_X30Y109
SLICEL SLICE_X50Y109
SLICEX SLICE_X51Y109
INT
INT_X31Y109
TIEOFF TIEOFF_X55Y218
INT_INTERFACE
INT_INTERFACE_X31Y109
NULL
NULL_X73Y119
INT
INT_X32Y109
TIEOFF TIEOFF_X56Y218
CLEXM
CLEXM_X32Y109
SLICEM SLICE_X52Y109
SLICEX SLICE_X53Y109
INT
INT_X33Y109
TIEOFF TIEOFF_X58Y218
CLEXL
CLEXL_X33Y109
SLICEL SLICE_X54Y109
SLICEX SLICE_X55Y109
INT_BRAM
INT_BRAM_X34Y109
TIEOFF TIEOFF_X60Y218
INT_INTERFACE
INT_INTERFACE_X34Y109
NULL
NULL_X80Y119
INT
INT_X35Y109
TIEOFF TIEOFF_X61Y218
CLEXM
CLEXM_X35Y109
SLICEM SLICE_X56Y109
SLICEX SLICE_X57Y109
INT
INT_X36Y109
TIEOFF TIEOFF_X63Y218
CLEXL
CLEXL_X36Y109
SLICEL SLICE_X58Y109
SLICEX SLICE_X59Y109
INT
INT_X37Y109
TIEOFF TIEOFF_X65Y218
INT_INTERFACE
INT_INTERFACE_X37Y109
MCB_CAP_INT
MCB_CAP_INT_X37Y109
IOI_RTERM
IOI_RTERM_X88Y119
EMP_RIOB
EMP_RIOB_X37Y109
LIOB
LIOB_X0Y108
IOBM PAD353
IOBS PAD354
IOI_LTERM
IOI_LTERM_X1Y118
LIOI_INT
LIOI_INT_X0Y108
TIEOFF TIEOFF_X0Y216
LIOI
LIOI_X0Y108
OLOGIC2 OLOGIC_X0Y98
IODELAY2 IODELAY_X0Y98
ILOGIC2 ILOGIC_X0Y98
OLOGIC2 OLOGIC_X0Y99
IODELAY2 IODELAY_X0Y99
ILOGIC2 ILOGIC_X0Y99
TIEOFF TIEOFF_X1Y216
MCB_CAP_INT
MCB_CAP_INT_X0Y108
INT
INT_X1Y108
TIEOFF TIEOFF_X2Y216
CLEXL
CLEXL_X1Y108
SLICEL SLICE_X0Y108
SLICEX SLICE_X1Y108
INT
INT_X2Y108
TIEOFF TIEOFF_X4Y216
CLEXM
CLEXM_X2Y108
SLICEM SLICE_X2Y108
SLICEX SLICE_X3Y108
INT_BRAM
INT_BRAM_X3Y108
TIEOFF TIEOFF_X6Y216
INT_INTERFACE
INT_INTERFACE_X3Y108
BRAMSITE2
BRAMSITE2_X3Y108
RAMB16BWER RAMB16_X0Y54
RAMB8BWER RAMB8_X0Y54
RAMB8BWER RAMB8_X0Y55
INT
INT_X4Y108
TIEOFF TIEOFF_X7Y216
CLEXL
CLEXL_X4Y108
SLICEL SLICE_X4Y108
SLICEX SLICE_X5Y108
INT
INT_X5Y108
TIEOFF TIEOFF_X9Y216
CLEXM
CLEXM_X5Y108
SLICEM SLICE_X6Y108
SLICEX SLICE_X7Y108
INT
INT_X6Y108
TIEOFF TIEOFF_X11Y216
INT_INTERFACE
INT_INTERFACE_X6Y108
MACCSITE2
MACCSITE2_X6Y108
DSP48A1 DSP48_X0Y27
INT
INT_X7Y108
TIEOFF TIEOFF_X12Y216
CLEXL
CLEXL_X7Y108
SLICEL SLICE_X8Y108
SLICEX SLICE_X9Y108
INT
INT_X8Y108
TIEOFF TIEOFF_X14Y216
CLEXM
CLEXM_X8Y108
SLICEM SLICE_X10Y108
SLICEX SLICE_X11Y108
INT
INT_X9Y108
TIEOFF TIEOFF_X16Y216
CLEXL
CLEXL_X9Y108
SLICEL SLICE_X12Y108
SLICEX SLICE_X13Y108
INT
INT_X10Y108
TIEOFF TIEOFF_X17Y216
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y118
NULL
NULL_X27Y118
NULL
NULL_X28Y118
NULL
NULL_X29Y118
NULL
NULL_X30Y118
NULL
NULL_X31Y118
NULL
NULL_X32Y118
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y118
INT_TERM
INT_X14Y108
TIEOFF TIEOFF_X24Y216
NULL
NULL_X35Y118
INT
INT_X15Y108
TIEOFF TIEOFF_X26Y216
CLEXM
CLEXM_X15Y108
SLICEM SLICE_X22Y108
SLICEX SLICE_X23Y108
INT
INT_X16Y108
TIEOFF TIEOFF_X28Y216
CLEXL
CLEXL_X16Y108
SLICEL SLICE_X24Y108
SLICEX SLICE_X25Y108
INT
INT_X17Y108
TIEOFF TIEOFF_X29Y216
CLEXM
CLEXM_X17Y108
SLICEM SLICE_X26Y108
SLICEX SLICE_X27Y108
INT
INT_X18Y108
TIEOFF TIEOFF_X31Y216
CLEXL
CLEXL_X18Y108
SLICEL SLICE_X28Y108
SLICEX SLICE_X29Y108
NULL
NULL_X44Y118
REG_V
REG_V_X18Y108
INT
INT_X19Y108
TIEOFF TIEOFF_X34Y216
CLEXM
CLEXM_X19Y108
SLICEM SLICE_X30Y108
SLICEX SLICE_X31Y108
INT
INT_X20Y108
TIEOFF TIEOFF_X36Y216
CLEXL
CLEXL_X20Y108
SLICEL SLICE_X32Y108
SLICEX SLICE_X33Y108
INT
INT_X21Y108
TIEOFF TIEOFF_X38Y216
CLEXM
CLEXM_X21Y108
SLICEM SLICE_X34Y108
SLICEX SLICE_X35Y108
INT
INT_X22Y108
TIEOFF TIEOFF_X39Y216
CLEXL
CLEXL_X22Y108
SLICEL SLICE_X36Y108
SLICEX SLICE_X37Y108
INT
INT_X23Y108
TIEOFF TIEOFF_X41Y216
CLEXM
CLEXM_X23Y108
SLICEM SLICE_X38Y108
SLICEX SLICE_X39Y108
INT
INT_X24Y108
TIEOFF TIEOFF_X43Y216
CLEXL
CLEXL_X24Y108
SLICEL SLICE_X40Y108
SLICEX SLICE_X41Y108
INT_BRAM
INT_BRAM_X25Y108
TIEOFF TIEOFF_X45Y216
INT_INTERFACE
INT_INTERFACE_X25Y108
BRAMSITE2
BRAMSITE2_X25Y108
RAMB16BWER RAMB16_X2Y54
RAMB8BWER RAMB8_X2Y54
RAMB8BWER RAMB8_X2Y55
INT
INT_X26Y108
TIEOFF TIEOFF_X46Y216
CLEXL
CLEXL_X26Y108
SLICEL SLICE_X42Y108
SLICEX SLICE_X43Y108
INT
INT_X27Y108
TIEOFF TIEOFF_X48Y216
CLEXM
CLEXM_X27Y108
SLICEM SLICE_X44Y108
SLICEX SLICE_X45Y108
INT
INT_X28Y108
TIEOFF TIEOFF_X50Y216
CLEXL
CLEXL_X28Y108
SLICEL SLICE_X46Y108
SLICEX SLICE_X47Y108
INT
INT_X29Y108
TIEOFF TIEOFF_X51Y216
CLEXM
CLEXM_X29Y108
SLICEM SLICE_X48Y108
SLICEX SLICE_X49Y108
INT
INT_X30Y108
TIEOFF TIEOFF_X53Y216
CLEXL
CLEXL_X30Y108
SLICEL SLICE_X50Y108
SLICEX SLICE_X51Y108
INT
INT_X31Y108
TIEOFF TIEOFF_X55Y216
INT_INTERFACE
INT_INTERFACE_X31Y108
MACCSITE2
MACCSITE2_X31Y108
DSP48A1 DSP48_X1Y27
INT
INT_X32Y108
TIEOFF TIEOFF_X56Y216
CLEXM
CLEXM_X32Y108
SLICEM SLICE_X52Y108
SLICEX SLICE_X53Y108
INT
INT_X33Y108
TIEOFF TIEOFF_X58Y216
CLEXL
CLEXL_X33Y108
SLICEL SLICE_X54Y108
SLICEX SLICE_X55Y108
INT_BRAM
INT_BRAM_X34Y108
TIEOFF TIEOFF_X60Y216
INT_INTERFACE
INT_INTERFACE_X34Y108
BRAMSITE2
BRAMSITE2_X34Y108
RAMB16BWER RAMB16_X3Y54
RAMB8BWER RAMB8_X3Y54
RAMB8BWER RAMB8_X3Y55
INT
INT_X35Y108
TIEOFF TIEOFF_X61Y216
CLEXM
CLEXM_X35Y108
SLICEM SLICE_X56Y108
SLICEX SLICE_X57Y108
INT
INT_X36Y108
TIEOFF TIEOFF_X63Y216
CLEXL
CLEXL_X36Y108
SLICEL SLICE_X58Y108
SLICEX SLICE_X59Y108
IOI_INT
IOI_INT_X37Y108
TIEOFF TIEOFF_X65Y216
RIOI
RIOI_X37Y108
OLOGIC2 OLOGIC_X27Y98
IODELAY2 IODELAY_X27Y98
ILOGIC2 ILOGIC_X27Y98
OLOGIC2 OLOGIC_X27Y99
IODELAY2 IODELAY_X27Y99
ILOGIC2 ILOGIC_X27Y99
TIEOFF TIEOFF_X66Y216
MCB_CAP_INT
MCB_CAP_INT_X37Y108
IOI_RTERM
IOI_RTERM_X88Y118
RIOB
RIOB_X37Y108
IOBS PAD64
IOBM PAD63
EMP_LIOB
EMP_LIOB_X0Y117
IOI_LTERM
IOI_LTERM_X1Y117
INT
INT_X0Y107
TIEOFF TIEOFF_X0Y214
INT_INTERFACE
INT_INTERFACE_X0Y107
MCB_CAP_INT
MCB_CAP_INT_X0Y107
INT
INT_X1Y107
TIEOFF TIEOFF_X2Y214
CLEXL
CLEXL_X1Y107
SLICEL SLICE_X0Y107
SLICEX SLICE_X1Y107
INT
INT_X2Y107
TIEOFF TIEOFF_X4Y214
CLEXM
CLEXM_X2Y107
SLICEM SLICE_X2Y107
SLICEX SLICE_X3Y107
INT_BRAM
INT_BRAM_X3Y107
TIEOFF TIEOFF_X6Y214
INT_INTERFACE
INT_INTERFACE_X3Y107
NULL
NULL_X11Y117
INT
INT_X4Y107
TIEOFF TIEOFF_X7Y214
CLEXL
CLEXL_X4Y107
SLICEL SLICE_X4Y107
SLICEX SLICE_X5Y107
INT
INT_X5Y107
TIEOFF TIEOFF_X9Y214
CLEXM
CLEXM_X5Y107
SLICEM SLICE_X6Y107
SLICEX SLICE_X7Y107
INT
INT_X6Y107
TIEOFF TIEOFF_X11Y214
INT_INTERFACE
INT_INTERFACE_X6Y107
NULL
NULL_X18Y117
INT
INT_X7Y107
TIEOFF TIEOFF_X12Y214
CLEXL
CLEXL_X7Y107
SLICEL SLICE_X8Y107
SLICEX SLICE_X9Y107
INT
INT_X8Y107
TIEOFF TIEOFF_X14Y214
CLEXM
CLEXM_X8Y107
SLICEM SLICE_X10Y107
SLICEX SLICE_X11Y107
INT
INT_X9Y107
TIEOFF TIEOFF_X16Y214
CLEXL
CLEXL_X9Y107
SLICEL SLICE_X12Y107
SLICEX SLICE_X13Y107
INT
INT_X10Y107
TIEOFF TIEOFF_X17Y214
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y117
NULL
NULL_X27Y117
NULL
NULL_X28Y117
NULL
NULL_X29Y117
NULL
NULL_X30Y117
NULL
NULL_X31Y117
NULL
NULL_X32Y117
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y117
INT_TERM
INT_X14Y107
TIEOFF TIEOFF_X24Y214
NULL
NULL_X35Y117
INT
INT_X15Y107
TIEOFF TIEOFF_X26Y214
CLEXM
CLEXM_X15Y107
SLICEM SLICE_X22Y107
SLICEX SLICE_X23Y107
INT
INT_X16Y107
TIEOFF TIEOFF_X28Y214
CLEXL
CLEXL_X16Y107
SLICEL SLICE_X24Y107
SLICEX SLICE_X25Y107
INT
INT_X17Y107
TIEOFF TIEOFF_X29Y214
CLEXM
CLEXM_X17Y107
SLICEM SLICE_X26Y107
SLICEX SLICE_X27Y107
INT
INT_X18Y107
TIEOFF TIEOFF_X31Y214
CLEXL
CLEXL_X18Y107
SLICEL SLICE_X28Y107
SLICEX SLICE_X29Y107
NULL
NULL_X44Y117
REG_V
REG_V_X18Y107
INT
INT_X19Y107
TIEOFF TIEOFF_X34Y214
CLEXM
CLEXM_X19Y107
SLICEM SLICE_X30Y107
SLICEX SLICE_X31Y107
INT
INT_X20Y107
TIEOFF TIEOFF_X36Y214
CLEXL
CLEXL_X20Y107
SLICEL SLICE_X32Y107
SLICEX SLICE_X33Y107
INT
INT_X21Y107
TIEOFF TIEOFF_X38Y214
CLEXM
CLEXM_X21Y107
SLICEM SLICE_X34Y107
SLICEX SLICE_X35Y107
INT
INT_X22Y107
TIEOFF TIEOFF_X39Y214
CLEXL
CLEXL_X22Y107
SLICEL SLICE_X36Y107
SLICEX SLICE_X37Y107
INT
INT_X23Y107
TIEOFF TIEOFF_X41Y214
CLEXM
CLEXM_X23Y107
SLICEM SLICE_X38Y107
SLICEX SLICE_X39Y107
INT
INT_X24Y107
TIEOFF TIEOFF_X43Y214
CLEXL
CLEXL_X24Y107
SLICEL SLICE_X40Y107
SLICEX SLICE_X41Y107
INT_BRAM
INT_BRAM_X25Y107
TIEOFF TIEOFF_X45Y214
INT_INTERFACE
INT_INTERFACE_X25Y107
NULL
NULL_X60Y117
INT
INT_X26Y107
TIEOFF TIEOFF_X46Y214
CLEXL
CLEXL_X26Y107
SLICEL SLICE_X42Y107
SLICEX SLICE_X43Y107
INT
INT_X27Y107
TIEOFF TIEOFF_X48Y214
CLEXM
CLEXM_X27Y107
SLICEM SLICE_X44Y107
SLICEX SLICE_X45Y107
INT
INT_X28Y107
TIEOFF TIEOFF_X50Y214
CLEXL
CLEXL_X28Y107
SLICEL SLICE_X46Y107
SLICEX SLICE_X47Y107
INT
INT_X29Y107
TIEOFF TIEOFF_X51Y214
CLEXM
CLEXM_X29Y107
SLICEM SLICE_X48Y107
SLICEX SLICE_X49Y107
INT
INT_X30Y107
TIEOFF TIEOFF_X53Y214
CLEXL
CLEXL_X30Y107
SLICEL SLICE_X50Y107
SLICEX SLICE_X51Y107
INT
INT_X31Y107
TIEOFF TIEOFF_X55Y214
INT_INTERFACE
INT_INTERFACE_X31Y107
NULL
NULL_X73Y117
INT
INT_X32Y107
TIEOFF TIEOFF_X56Y214
CLEXM
CLEXM_X32Y107
SLICEM SLICE_X52Y107
SLICEX SLICE_X53Y107
INT
INT_X33Y107
TIEOFF TIEOFF_X58Y214
CLEXL
CLEXL_X33Y107
SLICEL SLICE_X54Y107
SLICEX SLICE_X55Y107
INT_BRAM
INT_BRAM_X34Y107
TIEOFF TIEOFF_X60Y214
INT_INTERFACE
INT_INTERFACE_X34Y107
NULL
NULL_X80Y117
INT
INT_X35Y107
TIEOFF TIEOFF_X61Y214
CLEXM
CLEXM_X35Y107
SLICEM SLICE_X56Y107
SLICEX SLICE_X57Y107
INT
INT_X36Y107
TIEOFF TIEOFF_X63Y214
CLEXL
CLEXL_X36Y107
SLICEL SLICE_X58Y107
SLICEX SLICE_X59Y107
INT
INT_X37Y107
TIEOFF TIEOFF_X65Y214
INT_INTERFACE
INT_INTERFACE_X37Y107
MCB_CAP_INT
MCB_CAP_INT_X37Y107
IOI_RTERM
IOI_RTERM_X88Y117
EMP_RIOB
EMP_RIOB_X37Y107
LIOB
LIOB_X0Y106
IOBM PAD351
IOBS PAD352
IOI_LTERM
IOI_LTERM_X1Y116
LIOI_INT
LIOI_INT_X0Y106
TIEOFF TIEOFF_X0Y212
LIOI
LIOI_X0Y106
OLOGIC2 OLOGIC_X0Y96
IODELAY2 IODELAY_X0Y96
ILOGIC2 ILOGIC_X0Y96
OLOGIC2 OLOGIC_X0Y97
IODELAY2 IODELAY_X0Y97
ILOGIC2 ILOGIC_X0Y97
TIEOFF TIEOFF_X1Y212
MCB_CAP_INT
MCB_CAP_INT_X0Y106
INT
INT_X1Y106
TIEOFF TIEOFF_X2Y212
CLEXL
CLEXL_X1Y106
SLICEL SLICE_X0Y106
SLICEX SLICE_X1Y106
INT
INT_X2Y106
TIEOFF TIEOFF_X4Y212
CLEXM
CLEXM_X2Y106
SLICEM SLICE_X2Y106
SLICEX SLICE_X3Y106
INT_BRAM
INT_BRAM_X3Y106
TIEOFF TIEOFF_X6Y212
INT_INTERFACE
INT_INTERFACE_X3Y106
NULL
NULL_X11Y116
INT
INT_X4Y106
TIEOFF TIEOFF_X7Y212
CLEXL
CLEXL_X4Y106
SLICEL SLICE_X4Y106
SLICEX SLICE_X5Y106
INT
INT_X5Y106
TIEOFF TIEOFF_X9Y212
CLEXM
CLEXM_X5Y106
SLICEM SLICE_X6Y106
SLICEX SLICE_X7Y106
INT
INT_X6Y106
TIEOFF TIEOFF_X11Y212
INT_INTERFACE
INT_INTERFACE_X6Y106
NULL
NULL_X18Y116
INT
INT_X7Y106
TIEOFF TIEOFF_X12Y212
CLEXL
CLEXL_X7Y106
SLICEL SLICE_X8Y106
SLICEX SLICE_X9Y106
INT
INT_X8Y106
TIEOFF TIEOFF_X14Y212
CLEXM
CLEXM_X8Y106
SLICEM SLICE_X10Y106
SLICEX SLICE_X11Y106
INT
INT_X9Y106
TIEOFF TIEOFF_X16Y212
CLEXL
CLEXL_X9Y106
SLICEL SLICE_X12Y106
SLICEX SLICE_X13Y106
INT
INT_X10Y106
TIEOFF TIEOFF_X17Y212
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y116
NULL
NULL_X27Y116
NULL
NULL_X28Y116
NULL
NULL_X29Y116
NULL
NULL_X30Y116
NULL
NULL_X31Y116
NULL
NULL_X32Y116
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y116
INT_TERM
INT_X14Y106
TIEOFF TIEOFF_X24Y212
NULL
NULL_X35Y116
INT
INT_X15Y106
TIEOFF TIEOFF_X26Y212
CLEXM
CLEXM_X15Y106
SLICEM SLICE_X22Y106
SLICEX SLICE_X23Y106
INT
INT_X16Y106
TIEOFF TIEOFF_X28Y212
CLEXL
CLEXL_X16Y106
SLICEL SLICE_X24Y106
SLICEX SLICE_X25Y106
INT
INT_X17Y106
TIEOFF TIEOFF_X29Y212
CLEXM
CLEXM_X17Y106
SLICEM SLICE_X26Y106
SLICEX SLICE_X27Y106
INT
INT_X18Y106
TIEOFF TIEOFF_X31Y212
CLEXL
CLEXL_X18Y106
SLICEL SLICE_X28Y106
SLICEX SLICE_X29Y106
NULL
NULL_X44Y116
REG_V
REG_V_X18Y106
INT
INT_X19Y106
TIEOFF TIEOFF_X34Y212
CLEXM
CLEXM_X19Y106
SLICEM SLICE_X30Y106
SLICEX SLICE_X31Y106
INT
INT_X20Y106
TIEOFF TIEOFF_X36Y212
CLEXL
CLEXL_X20Y106
SLICEL SLICE_X32Y106
SLICEX SLICE_X33Y106
INT
INT_X21Y106
TIEOFF TIEOFF_X38Y212
CLEXM
CLEXM_X21Y106
SLICEM SLICE_X34Y106
SLICEX SLICE_X35Y106
INT
INT_X22Y106
TIEOFF TIEOFF_X39Y212
CLEXL
CLEXL_X22Y106
SLICEL SLICE_X36Y106
SLICEX SLICE_X37Y106
INT
INT_X23Y106
TIEOFF TIEOFF_X41Y212
CLEXM
CLEXM_X23Y106
SLICEM SLICE_X38Y106
SLICEX SLICE_X39Y106
INT
INT_X24Y106
TIEOFF TIEOFF_X43Y212
CLEXL
CLEXL_X24Y106
SLICEL SLICE_X40Y106
SLICEX SLICE_X41Y106
INT_BRAM
INT_BRAM_X25Y106
TIEOFF TIEOFF_X45Y212
INT_INTERFACE
INT_INTERFACE_X25Y106
NULL
NULL_X60Y116
INT
INT_X26Y106
TIEOFF TIEOFF_X46Y212
CLEXL
CLEXL_X26Y106
SLICEL SLICE_X42Y106
SLICEX SLICE_X43Y106
INT
INT_X27Y106
TIEOFF TIEOFF_X48Y212
CLEXM
CLEXM_X27Y106
SLICEM SLICE_X44Y106
SLICEX SLICE_X45Y106
INT
INT_X28Y106
TIEOFF TIEOFF_X50Y212
CLEXL
CLEXL_X28Y106
SLICEL SLICE_X46Y106
SLICEX SLICE_X47Y106
INT
INT_X29Y106
TIEOFF TIEOFF_X51Y212
CLEXM
CLEXM_X29Y106
SLICEM SLICE_X48Y106
SLICEX SLICE_X49Y106
INT
INT_X30Y106
TIEOFF TIEOFF_X53Y212
CLEXL
CLEXL_X30Y106
SLICEL SLICE_X50Y106
SLICEX SLICE_X51Y106
INT
INT_X31Y106
TIEOFF TIEOFF_X55Y212
INT_INTERFACE
INT_INTERFACE_X31Y106
NULL
NULL_X73Y116
INT
INT_X32Y106
TIEOFF TIEOFF_X56Y212
CLEXM
CLEXM_X32Y106
SLICEM SLICE_X52Y106
SLICEX SLICE_X53Y106
INT
INT_X33Y106
TIEOFF TIEOFF_X58Y212
CLEXL
CLEXL_X33Y106
SLICEL SLICE_X54Y106
SLICEX SLICE_X55Y106
INT_BRAM
INT_BRAM_X34Y106
TIEOFF TIEOFF_X60Y212
INT_INTERFACE
INT_INTERFACE_X34Y106
NULL
NULL_X80Y116
INT
INT_X35Y106
TIEOFF TIEOFF_X61Y212
CLEXM
CLEXM_X35Y106
SLICEM SLICE_X56Y106
SLICEX SLICE_X57Y106
INT
INT_X36Y106
TIEOFF TIEOFF_X63Y212
CLEXL
CLEXL_X36Y106
SLICEL SLICE_X58Y106
SLICEX SLICE_X59Y106
IOI_INT
IOI_INT_X37Y106
TIEOFF TIEOFF_X65Y212
RIOI
RIOI_X37Y106
OLOGIC2 OLOGIC_X27Y96
IODELAY2 IODELAY_X27Y96
ILOGIC2 ILOGIC_X27Y96
OLOGIC2 OLOGIC_X27Y97
IODELAY2 IODELAY_X27Y97
ILOGIC2 ILOGIC_X27Y97
TIEOFF TIEOFF_X66Y212
MCB_CAP_INT
MCB_CAP_INT_X37Y106
IOI_RTERM
IOI_RTERM_X88Y116
RIOB
RIOB_X37Y106
IOBS PAD66
IOBM PAD65
EMP_LIOB
EMP_LIOB_X0Y115
IOI_LTERM
IOI_LTERM_X1Y115
INT
INT_X0Y105
TIEOFF TIEOFF_X0Y210
INT_INTERFACE
INT_INTERFACE_X0Y105
MCB_CAP_INT
MCB_CAP_INT_X0Y105
INT
INT_X1Y105
TIEOFF TIEOFF_X2Y210
CLEXL
CLEXL_X1Y105
SLICEL SLICE_X0Y105
SLICEX SLICE_X1Y105
INT
INT_X2Y105
TIEOFF TIEOFF_X4Y210
CLEXM
CLEXM_X2Y105
SLICEM SLICE_X2Y105
SLICEX SLICE_X3Y105
INT_BRAM
INT_BRAM_X3Y105
TIEOFF TIEOFF_X6Y210
INT_INTERFACE
INT_INTERFACE_X3Y105
NULL
NULL_X11Y115
INT
INT_X4Y105
TIEOFF TIEOFF_X7Y210
CLEXL
CLEXL_X4Y105
SLICEL SLICE_X4Y105
SLICEX SLICE_X5Y105
INT
INT_X5Y105
TIEOFF TIEOFF_X9Y210
CLEXM
CLEXM_X5Y105
SLICEM SLICE_X6Y105
SLICEX SLICE_X7Y105
INT
INT_X6Y105
TIEOFF TIEOFF_X11Y210
INT_INTERFACE
INT_INTERFACE_X6Y105
NULL
NULL_X18Y115
INT
INT_X7Y105
TIEOFF TIEOFF_X12Y210
CLEXL
CLEXL_X7Y105
SLICEL SLICE_X8Y105
SLICEX SLICE_X9Y105
INT
INT_X8Y105
TIEOFF TIEOFF_X14Y210
CLEXM
CLEXM_X8Y105
SLICEM SLICE_X10Y105
SLICEX SLICE_X11Y105
INT
INT_X9Y105
TIEOFF TIEOFF_X16Y210
CLEXL
CLEXL_X9Y105
SLICEL SLICE_X12Y105
SLICEX SLICE_X13Y105
INT
INT_X10Y105
TIEOFF TIEOFF_X17Y210
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y115
NULL
NULL_X27Y115
NULL
NULL_X28Y115
NULL
NULL_X29Y115
NULL
NULL_X30Y115
NULL
NULL_X31Y115
NULL
NULL_X32Y115
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y115
INT_TERM
INT_X14Y105
TIEOFF TIEOFF_X24Y210
NULL
NULL_X35Y115
INT
INT_X15Y105
TIEOFF TIEOFF_X26Y210
CLEXM
CLEXM_X15Y105
SLICEM SLICE_X22Y105
SLICEX SLICE_X23Y105
INT
INT_X16Y105
TIEOFF TIEOFF_X28Y210
CLEXL
CLEXL_X16Y105
SLICEL SLICE_X24Y105
SLICEX SLICE_X25Y105
INT
INT_X17Y105
TIEOFF TIEOFF_X29Y210
CLEXM
CLEXM_X17Y105
SLICEM SLICE_X26Y105
SLICEX SLICE_X27Y105
INT
INT_X18Y105
TIEOFF TIEOFF_X31Y210
CLEXL
CLEXL_X18Y105
SLICEL SLICE_X28Y105
SLICEX SLICE_X29Y105
NULL
NULL_X44Y115
REG_V
REG_V_X18Y105
INT
INT_X19Y105
TIEOFF TIEOFF_X34Y210
CLEXM
CLEXM_X19Y105
SLICEM SLICE_X30Y105
SLICEX SLICE_X31Y105
INT
INT_X20Y105
TIEOFF TIEOFF_X36Y210
CLEXL
CLEXL_X20Y105
SLICEL SLICE_X32Y105
SLICEX SLICE_X33Y105
INT
INT_X21Y105
TIEOFF TIEOFF_X38Y210
CLEXM
CLEXM_X21Y105
SLICEM SLICE_X34Y105
SLICEX SLICE_X35Y105
INT
INT_X22Y105
TIEOFF TIEOFF_X39Y210
CLEXL
CLEXL_X22Y105
SLICEL SLICE_X36Y105
SLICEX SLICE_X37Y105
INT
INT_X23Y105
TIEOFF TIEOFF_X41Y210
CLEXM
CLEXM_X23Y105
SLICEM SLICE_X38Y105
SLICEX SLICE_X39Y105
INT
INT_X24Y105
TIEOFF TIEOFF_X43Y210
CLEXL
CLEXL_X24Y105
SLICEL SLICE_X40Y105
SLICEX SLICE_X41Y105
INT_BRAM
INT_BRAM_X25Y105
TIEOFF TIEOFF_X45Y210
INT_INTERFACE
INT_INTERFACE_X25Y105
NULL
NULL_X60Y115
INT
INT_X26Y105
TIEOFF TIEOFF_X46Y210
CLEXL
CLEXL_X26Y105
SLICEL SLICE_X42Y105
SLICEX SLICE_X43Y105
INT
INT_X27Y105
TIEOFF TIEOFF_X48Y210
CLEXM
CLEXM_X27Y105
SLICEM SLICE_X44Y105
SLICEX SLICE_X45Y105
INT
INT_X28Y105
TIEOFF TIEOFF_X50Y210
CLEXL
CLEXL_X28Y105
SLICEL SLICE_X46Y105
SLICEX SLICE_X47Y105
INT
INT_X29Y105
TIEOFF TIEOFF_X51Y210
CLEXM
CLEXM_X29Y105
SLICEM SLICE_X48Y105
SLICEX SLICE_X49Y105
INT
INT_X30Y105
TIEOFF TIEOFF_X53Y210
CLEXL
CLEXL_X30Y105
SLICEL SLICE_X50Y105
SLICEX SLICE_X51Y105
INT
INT_X31Y105
TIEOFF TIEOFF_X55Y210
INT_INTERFACE
INT_INTERFACE_X31Y105
NULL
NULL_X73Y115
INT
INT_X32Y105
TIEOFF TIEOFF_X56Y210
CLEXM
CLEXM_X32Y105
SLICEM SLICE_X52Y105
SLICEX SLICE_X53Y105
INT
INT_X33Y105
TIEOFF TIEOFF_X58Y210
CLEXL
CLEXL_X33Y105
SLICEL SLICE_X54Y105
SLICEX SLICE_X55Y105
INT_BRAM
INT_BRAM_X34Y105
TIEOFF TIEOFF_X60Y210
INT_INTERFACE
INT_INTERFACE_X34Y105
NULL
NULL_X80Y115
INT
INT_X35Y105
TIEOFF TIEOFF_X61Y210
CLEXM
CLEXM_X35Y105
SLICEM SLICE_X56Y105
SLICEX SLICE_X57Y105
INT
INT_X36Y105
TIEOFF TIEOFF_X63Y210
CLEXL
CLEXL_X36Y105
SLICEL SLICE_X58Y105
SLICEX SLICE_X59Y105
INT
INT_X37Y105
TIEOFF TIEOFF_X65Y210
INT_INTERFACE
INT_INTERFACE_X37Y105
MCB_CAP_INT
MCB_CAP_INT_X37Y105
IOI_RTERM
IOI_RTERM_X88Y115
EMP_RIOB
EMP_RIOB_X37Y105
LIOB
LIOB_X0Y104
IOBM PAD349
IOBS PAD350
IOI_LTERM
IOI_LTERM_X1Y114
LIOI_INT
LIOI_INT_X0Y104
TIEOFF TIEOFF_X0Y208
LIOI
LIOI_X0Y104
OLOGIC2 OLOGIC_X0Y94
IODELAY2 IODELAY_X0Y94
ILOGIC2 ILOGIC_X0Y94
OLOGIC2 OLOGIC_X0Y95
IODELAY2 IODELAY_X0Y95
ILOGIC2 ILOGIC_X0Y95
TIEOFF TIEOFF_X1Y208
MCB_CAP_INT
MCB_CAP_INT_X0Y104
INT
INT_X1Y104
TIEOFF TIEOFF_X2Y208
CLEXL
CLEXL_X1Y104
SLICEL SLICE_X0Y104
SLICEX SLICE_X1Y104
INT
INT_X2Y104
TIEOFF TIEOFF_X4Y208
CLEXM
CLEXM_X2Y104
SLICEM SLICE_X2Y104
SLICEX SLICE_X3Y104
INT_BRAM
INT_BRAM_X3Y104
TIEOFF TIEOFF_X6Y208
INT_INTERFACE
INT_INTERFACE_X3Y104
BRAMSITE2
BRAMSITE2_X3Y104
RAMB16BWER RAMB16_X0Y52
RAMB8BWER RAMB8_X0Y52
RAMB8BWER RAMB8_X0Y53
INT
INT_X4Y104
TIEOFF TIEOFF_X7Y208
CLEXL
CLEXL_X4Y104
SLICEL SLICE_X4Y104
SLICEX SLICE_X5Y104
INT
INT_X5Y104
TIEOFF TIEOFF_X9Y208
CLEXM
CLEXM_X5Y104
SLICEM SLICE_X6Y104
SLICEX SLICE_X7Y104
INT
INT_X6Y104
TIEOFF TIEOFF_X11Y208
INT_INTERFACE
INT_INTERFACE_X6Y104
MACCSITE2
MACCSITE2_X6Y104
DSP48A1 DSP48_X0Y26
INT
INT_X7Y104
TIEOFF TIEOFF_X12Y208
CLEXL
CLEXL_X7Y104
SLICEL SLICE_X8Y104
SLICEX SLICE_X9Y104
INT
INT_X8Y104
TIEOFF TIEOFF_X14Y208
CLEXM
CLEXM_X8Y104
SLICEM SLICE_X10Y104
SLICEX SLICE_X11Y104
INT
INT_X9Y104
TIEOFF TIEOFF_X16Y208
CLEXL
CLEXL_X9Y104
SLICEL SLICE_X12Y104
SLICEX SLICE_X13Y104
INT
INT_X10Y104
TIEOFF TIEOFF_X17Y208
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y114
PCIE_TOP_INT_FEEDTHRU
PCIE_TOP_INT_FEEDTHRU_X10Y104
PCIE_TOP_CLB_FEEDTHRU
PCIE_TOP_CLB_FEEDTHRU_X10Y104
PCIE_TOP_INT_FEEDTHRU
PCIE_TOP_INT_FEEDTHRU_X12Y95
PCIE_TOP_CLB_FEEDTHRU
PCIE_TOP_CLB_FEEDTHRU_X12Y95
PCIE_TOP
PCIE_TOP_X12Y95
PCIE_A1 PCIE_X0Y0
PCIE_TOP_INT_FEEDTHRU
PCIE_TOP_INT_FEEDTHRU_X13Y95
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y114
INT_TERM
INT_X14Y104
TIEOFF TIEOFF_X24Y208
PCIE_TOP_CLB_FEEDTHRU
PCIE_TOP_CLB_FEEDTHRU_X14Y104
INT
INT_X15Y104
TIEOFF TIEOFF_X26Y208
CLEXM
CLEXM_X15Y104
SLICEM SLICE_X22Y104
SLICEX SLICE_X23Y104
INT
INT_X16Y104
TIEOFF TIEOFF_X28Y208
CLEXL
CLEXL_X16Y104
SLICEL SLICE_X24Y104
SLICEX SLICE_X25Y104
INT
INT_X17Y104
TIEOFF TIEOFF_X29Y208
CLEXM
CLEXM_X17Y104
SLICEM SLICE_X26Y104
SLICEX SLICE_X27Y104
IOI_INT
IOI_INT_X18Y104
TIEOFF TIEOFF_X31Y208
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y104
CMT_DCM2_TOP
CMT_DCM2_TOP_X18Y104
DCM DCM_X0Y7
DCM DCM_X0Y6
REG_V
REG_V_X18Y104
INT
INT_X19Y104
TIEOFF TIEOFF_X34Y208
CLEXM
CLEXM_X19Y104
SLICEM SLICE_X30Y104
SLICEX SLICE_X31Y104
INT
INT_X20Y104
TIEOFF TIEOFF_X36Y208
CLEXL
CLEXL_X20Y104
SLICEL SLICE_X32Y104
SLICEX SLICE_X33Y104
INT
INT_X21Y104
TIEOFF TIEOFF_X38Y208
CLEXM
CLEXM_X21Y104
SLICEM SLICE_X34Y104
SLICEX SLICE_X35Y104
INT
INT_X22Y104
TIEOFF TIEOFF_X39Y208
CLEXL
CLEXL_X22Y104
SLICEL SLICE_X36Y104
SLICEX SLICE_X37Y104
INT
INT_X23Y104
TIEOFF TIEOFF_X41Y208
CLEXM
CLEXM_X23Y104
SLICEM SLICE_X38Y104
SLICEX SLICE_X39Y104
INT
INT_X24Y104
TIEOFF TIEOFF_X43Y208
CLEXL
CLEXL_X24Y104
SLICEL SLICE_X40Y104
SLICEX SLICE_X41Y104
INT_BRAM
INT_BRAM_X25Y104
TIEOFF TIEOFF_X45Y208
INT_INTERFACE
INT_INTERFACE_X25Y104
BRAMSITE2
BRAMSITE2_X25Y104
RAMB16BWER RAMB16_X2Y52
RAMB8BWER RAMB8_X2Y52
RAMB8BWER RAMB8_X2Y53
INT
INT_X26Y104
TIEOFF TIEOFF_X46Y208
CLEXL
CLEXL_X26Y104
SLICEL SLICE_X42Y104
SLICEX SLICE_X43Y104
INT
INT_X27Y104
TIEOFF TIEOFF_X48Y208
CLEXM
CLEXM_X27Y104
SLICEM SLICE_X44Y104
SLICEX SLICE_X45Y104
INT
INT_X28Y104
TIEOFF TIEOFF_X50Y208
CLEXL
CLEXL_X28Y104
SLICEL SLICE_X46Y104
SLICEX SLICE_X47Y104
INT
INT_X29Y104
TIEOFF TIEOFF_X51Y208
CLEXM
CLEXM_X29Y104
SLICEM SLICE_X48Y104
SLICEX SLICE_X49Y104
INT
INT_X30Y104
TIEOFF TIEOFF_X53Y208
CLEXL
CLEXL_X30Y104
SLICEL SLICE_X50Y104
SLICEX SLICE_X51Y104
INT
INT_X31Y104
TIEOFF TIEOFF_X55Y208
INT_INTERFACE
INT_INTERFACE_X31Y104
MACCSITE2
MACCSITE2_X31Y104
DSP48A1 DSP48_X1Y26
INT
INT_X32Y104
TIEOFF TIEOFF_X56Y208
CLEXM
CLEXM_X32Y104
SLICEM SLICE_X52Y104
SLICEX SLICE_X53Y104
INT
INT_X33Y104
TIEOFF TIEOFF_X58Y208
CLEXL
CLEXL_X33Y104
SLICEL SLICE_X54Y104
SLICEX SLICE_X55Y104
INT_BRAM
INT_BRAM_X34Y104
TIEOFF TIEOFF_X60Y208
INT_INTERFACE
INT_INTERFACE_X34Y104
BRAMSITE2
BRAMSITE2_X34Y104
RAMB16BWER RAMB16_X3Y52
RAMB8BWER RAMB8_X3Y52
RAMB8BWER RAMB8_X3Y53
INT
INT_X35Y104
TIEOFF TIEOFF_X61Y208
CLEXM
CLEXM_X35Y104
SLICEM SLICE_X56Y104
SLICEX SLICE_X57Y104
INT
INT_X36Y104
TIEOFF TIEOFF_X63Y208
CLEXL
CLEXL_X36Y104
SLICEL SLICE_X58Y104
SLICEX SLICE_X59Y104
IOI_INT
IOI_INT_X37Y104
TIEOFF TIEOFF_X65Y208
RIOI
RIOI_X37Y104
OLOGIC2 OLOGIC_X27Y94
IODELAY2 IODELAY_X27Y94
ILOGIC2 ILOGIC_X27Y94
OLOGIC2 OLOGIC_X27Y95
IODELAY2 IODELAY_X27Y95
ILOGIC2 ILOGIC_X27Y95
TIEOFF TIEOFF_X66Y208
MCB_CAP_INT
MCB_CAP_INT_X37Y104
IOI_RTERM
IOI_RTERM_X88Y114
RIOB
RIOB_X37Y104
IOBS PAD68
IOBM PAD67
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y113
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y113
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y103
HCLK_IOIL_TOP_SPLIT
HCLK_IOIL_TOP_SPLIT_X0Y103
MCB_HCLK
MCB_HCLK_X0Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y103
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y103
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y103
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y103
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y103
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y103
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y103
NULL
NULL_X27Y113
NULL
NULL_X28Y113
NULL
NULL_X29Y113
NULL
NULL_X30Y113
NULL
NULL_X31Y113
NULL
NULL_X32Y113
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y113
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X14Y103
NULL
NULL_X35Y113
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y103
NULL
NULL_X44Y113
REG_V_HCLK
REG_V_HCLK_X44Y113
BUFH BUFH_X0Y223
BUFH BUFH_X0Y222
BUFH BUFH_X0Y221
BUFH BUFH_X0Y220
BUFH BUFH_X0Y219
BUFH BUFH_X0Y218
BUFH BUFH_X0Y217
BUFH BUFH_X0Y216
BUFH BUFH_X0Y215
BUFH BUFH_X0Y214
BUFH BUFH_X0Y213
BUFH BUFH_X0Y212
BUFH BUFH_X0Y211
BUFH BUFH_X0Y210
BUFH BUFH_X0Y209
BUFH BUFH_X0Y208
BUFH BUFH_X3Y207
BUFH BUFH_X3Y206
BUFH BUFH_X3Y205
BUFH BUFH_X3Y204
BUFH BUFH_X3Y203
BUFH BUFH_X3Y202
BUFH BUFH_X3Y201
BUFH BUFH_X3Y200
BUFH BUFH_X3Y199
BUFH BUFH_X3Y198
BUFH BUFH_X3Y197
BUFH BUFH_X3Y196
BUFH BUFH_X3Y195
BUFH BUFH_X3Y194
BUFH BUFH_X3Y193
BUFH BUFH_X3Y192
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y103
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y103
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y103
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y103
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y103
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y103
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y103
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y103
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y103
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y103
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y103
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y103
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y103
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y103
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y103
HCLK_IOIR_TOP_SPLIT
HCLK_IOIR_TOP_SPLIT_X37Y103
MCB_HCLK
MCB_HCLK_X37Y103
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y113
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y113
LIOB
LIOB_X0Y103
IOBM F3
IOBS E4
IOI_LTERM
IOI_LTERM_X1Y112
LIOI_INT
LIOI_INT_X0Y103
TIEOFF TIEOFF_X0Y206
LIOI
LIOI_X0Y103
OLOGIC2 OLOGIC_X0Y92
IODELAY2 IODELAY_X0Y92
ILOGIC2 ILOGIC_X0Y92
OLOGIC2 OLOGIC_X0Y93
IODELAY2 IODELAY_X0Y93
ILOGIC2 ILOGIC_X0Y93
TIEOFF TIEOFF_X1Y206
MCB_CAP_INT
MCB_CAP_INT_X0Y103
INT
INT_X1Y103
TIEOFF TIEOFF_X2Y206
CLEXL
CLEXL_X1Y103
SLICEL SLICE_X0Y103
SLICEX SLICE_X1Y103
INT
INT_X2Y103
TIEOFF TIEOFF_X4Y206
CLEXM
CLEXM_X2Y103
SLICEM SLICE_X2Y103
SLICEX SLICE_X3Y103
INT_BRAM
INT_BRAM_X3Y103
TIEOFF TIEOFF_X6Y206
INT_INTERFACE
INT_INTERFACE_X3Y103
NULL
NULL_X11Y112
INT
INT_X4Y103
TIEOFF TIEOFF_X7Y206
CLEXL
CLEXL_X4Y103
SLICEL SLICE_X4Y103
SLICEX SLICE_X5Y103
INT
INT_X5Y103
TIEOFF TIEOFF_X9Y206
CLEXM
CLEXM_X5Y103
SLICEM SLICE_X6Y103
SLICEX SLICE_X7Y103
INT
INT_X6Y103
TIEOFF TIEOFF_X11Y206
INT_INTERFACE
INT_INTERFACE_X6Y103
NULL
NULL_X18Y112
INT
INT_X7Y103
TIEOFF TIEOFF_X12Y206
CLEXL
CLEXL_X7Y103
SLICEL SLICE_X8Y103
SLICEX SLICE_X9Y103
INT
INT_X8Y103
TIEOFF TIEOFF_X14Y206
CLEXM
CLEXM_X8Y103
SLICEM SLICE_X10Y103
SLICEX SLICE_X11Y103
INT
INT_X9Y103
TIEOFF TIEOFF_X16Y206
CLEXL
CLEXL_X9Y103
SLICEL SLICE_X12Y103
SLICEX SLICE_X13Y103
INT
INT_X10Y103
TIEOFF TIEOFF_X17Y206
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y112
NULL
NULL_X27Y112
NULL
NULL_X28Y112
NULL
NULL_X29Y112
NULL
NULL_X30Y112
NULL
NULL_X31Y112
NULL
NULL_X32Y112
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y112
INT_TERM
INT_X14Y103
TIEOFF TIEOFF_X24Y206
NULL
NULL_X35Y112
INT
INT_X15Y103
TIEOFF TIEOFF_X26Y206
CLEXM
CLEXM_X15Y103
SLICEM SLICE_X22Y103
SLICEX SLICE_X23Y103
INT
INT_X16Y103
TIEOFF TIEOFF_X28Y206
CLEXL
CLEXL_X16Y103
SLICEL SLICE_X24Y103
SLICEX SLICE_X25Y103
INT
INT_X17Y103
TIEOFF TIEOFF_X29Y206
CLEXM
CLEXM_X17Y103
SLICEM SLICE_X26Y103
SLICEX SLICE_X27Y103
IOI_INT
IOI_INT_X18Y103
TIEOFF TIEOFF_X31Y206
INT_INTERFACE_IOI_DCMBOT
INT_INTERFACE_IOI_X18Y103
NULL
NULL_X44Y112
REG_V_MEMB_TOP
REG_V_MEMB_TOP_X18Y103
INT
INT_X19Y103
TIEOFF TIEOFF_X34Y206
CLEXM
CLEXM_X19Y103
SLICEM SLICE_X30Y103
SLICEX SLICE_X31Y103
INT
INT_X20Y103
TIEOFF TIEOFF_X36Y206
CLEXL
CLEXL_X20Y103
SLICEL SLICE_X32Y103
SLICEX SLICE_X33Y103
INT
INT_X21Y103
TIEOFF TIEOFF_X38Y206
CLEXM
CLEXM_X21Y103
SLICEM SLICE_X34Y103
SLICEX SLICE_X35Y103
INT
INT_X22Y103
TIEOFF TIEOFF_X39Y206
CLEXL
CLEXL_X22Y103
SLICEL SLICE_X36Y103
SLICEX SLICE_X37Y103
INT
INT_X23Y103
TIEOFF TIEOFF_X41Y206
CLEXM
CLEXM_X23Y103
SLICEM SLICE_X38Y103
SLICEX SLICE_X39Y103
INT
INT_X24Y103
TIEOFF TIEOFF_X43Y206
CLEXL
CLEXL_X24Y103
SLICEL SLICE_X40Y103
SLICEX SLICE_X41Y103
INT_BRAM
INT_BRAM_X25Y103
TIEOFF TIEOFF_X45Y206
INT_INTERFACE
INT_INTERFACE_X25Y103
NULL
NULL_X60Y112
INT
INT_X26Y103
TIEOFF TIEOFF_X46Y206
CLEXL
CLEXL_X26Y103
SLICEL SLICE_X42Y103
SLICEX SLICE_X43Y103
INT
INT_X27Y103
TIEOFF TIEOFF_X48Y206
CLEXM
CLEXM_X27Y103
SLICEM SLICE_X44Y103
SLICEX SLICE_X45Y103
INT
INT_X28Y103
TIEOFF TIEOFF_X50Y206
CLEXL
CLEXL_X28Y103
SLICEL SLICE_X46Y103
SLICEX SLICE_X47Y103
INT
INT_X29Y103
TIEOFF TIEOFF_X51Y206
CLEXM
CLEXM_X29Y103
SLICEM SLICE_X48Y103
SLICEX SLICE_X49Y103
INT
INT_X30Y103
TIEOFF TIEOFF_X53Y206
CLEXL
CLEXL_X30Y103
SLICEL SLICE_X50Y103
SLICEX SLICE_X51Y103
INT
INT_X31Y103
TIEOFF TIEOFF_X55Y206
INT_INTERFACE
INT_INTERFACE_X31Y103
NULL
NULL_X73Y112
INT
INT_X32Y103
TIEOFF TIEOFF_X56Y206
CLEXM
CLEXM_X32Y103
SLICEM SLICE_X52Y103
SLICEX SLICE_X53Y103
INT
INT_X33Y103
TIEOFF TIEOFF_X58Y206
CLEXL
CLEXL_X33Y103
SLICEL SLICE_X54Y103
SLICEX SLICE_X55Y103
INT_BRAM
INT_BRAM_X34Y103
TIEOFF TIEOFF_X60Y206
INT_INTERFACE
INT_INTERFACE_X34Y103
NULL
NULL_X80Y112
INT
INT_X35Y103
TIEOFF TIEOFF_X61Y206
CLEXM
CLEXM_X35Y103
SLICEM SLICE_X56Y103
SLICEX SLICE_X57Y103
INT
INT_X36Y103
TIEOFF TIEOFF_X63Y206
CLEXL
CLEXL_X36Y103
SLICEL SLICE_X58Y103
SLICEX SLICE_X59Y103
IOI_INT
IOI_INT_X37Y103
TIEOFF TIEOFF_X65Y206
RIOI
RIOI_X37Y103
OLOGIC2 OLOGIC_X27Y92
IODELAY2 IODELAY_X27Y92
ILOGIC2 ILOGIC_X27Y92
OLOGIC2 OLOGIC_X27Y93
IODELAY2 IODELAY_X27Y93
ILOGIC2 ILOGIC_X27Y93
TIEOFF TIEOFF_X66Y206
MCB_CAP_INT
MCB_CAP_INT_X37Y103
IOI_RTERM
IOI_RTERM_X88Y112
RIOB
RIOB_X37Y103
IOBS J17
IOBM J16
EMP_LIOB
EMP_LIOB_X0Y111
IOI_LTERM
IOI_LTERM_X1Y111
INT
INT_X0Y102
TIEOFF TIEOFF_X0Y204
INT_INTERFACE
INT_INTERFACE_X0Y102
MCB_CAP_INT
MCB_CAP_INT_X0Y102
INT
INT_X1Y102
TIEOFF TIEOFF_X2Y204
CLEXL
CLEXL_X1Y102
SLICEL SLICE_X0Y102
SLICEX SLICE_X1Y102
INT
INT_X2Y102
TIEOFF TIEOFF_X4Y204
CLEXM
CLEXM_X2Y102
SLICEM SLICE_X2Y102
SLICEX SLICE_X3Y102
INT_BRAM
INT_BRAM_X3Y102
TIEOFF TIEOFF_X6Y204
INT_INTERFACE
INT_INTERFACE_X3Y102
NULL
NULL_X11Y111
INT
INT_X4Y102
TIEOFF TIEOFF_X7Y204
CLEXL
CLEXL_X4Y102
SLICEL SLICE_X4Y102
SLICEX SLICE_X5Y102
INT
INT_X5Y102
TIEOFF TIEOFF_X9Y204
CLEXM
CLEXM_X5Y102
SLICEM SLICE_X6Y102
SLICEX SLICE_X7Y102
INT
INT_X6Y102
TIEOFF TIEOFF_X11Y204
INT_INTERFACE
INT_INTERFACE_X6Y102
NULL
NULL_X18Y111
INT
INT_X7Y102
TIEOFF TIEOFF_X12Y204
CLEXL
CLEXL_X7Y102
SLICEL SLICE_X8Y102
SLICEX SLICE_X9Y102
INT
INT_X8Y102
TIEOFF TIEOFF_X14Y204
CLEXM
CLEXM_X8Y102
SLICEM SLICE_X10Y102
SLICEX SLICE_X11Y102
INT
INT_X9Y102
TIEOFF TIEOFF_X16Y204
CLEXL
CLEXL_X9Y102
SLICEL SLICE_X12Y102
SLICEX SLICE_X13Y102
INT
INT_X10Y102
TIEOFF TIEOFF_X17Y204
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y111
NULL
NULL_X27Y111
NULL
NULL_X28Y111
NULL
NULL_X29Y111
NULL
NULL_X30Y111
NULL
NULL_X31Y111
NULL
NULL_X32Y111
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y111
INT_TERM
INT_X14Y102
TIEOFF TIEOFF_X24Y204
NULL
NULL_X35Y111
INT
INT_X15Y102
TIEOFF TIEOFF_X26Y204
CLEXM
CLEXM_X15Y102
SLICEM SLICE_X22Y102
SLICEX SLICE_X23Y102
INT
INT_X16Y102
TIEOFF TIEOFF_X28Y204
CLEXL
CLEXL_X16Y102
SLICEL SLICE_X24Y102
SLICEX SLICE_X25Y102
INT
INT_X17Y102
TIEOFF TIEOFF_X29Y204
CLEXM
CLEXM_X17Y102
SLICEM SLICE_X26Y102
SLICEX SLICE_X27Y102
INT
INT_X18Y102
TIEOFF TIEOFF_X31Y204
CLEXL
CLEXL_X18Y102
SLICEL SLICE_X28Y102
SLICEX SLICE_X29Y102
NULL
NULL_X44Y111
REG_V
REG_V_X18Y102
INT
INT_X19Y102
TIEOFF TIEOFF_X34Y204
CLEXM
CLEXM_X19Y102
SLICEM SLICE_X30Y102
SLICEX SLICE_X31Y102
INT
INT_X20Y102
TIEOFF TIEOFF_X36Y204
CLEXL
CLEXL_X20Y102
SLICEL SLICE_X32Y102
SLICEX SLICE_X33Y102
INT
INT_X21Y102
TIEOFF TIEOFF_X38Y204
CLEXM
CLEXM_X21Y102
SLICEM SLICE_X34Y102
SLICEX SLICE_X35Y102
INT
INT_X22Y102
TIEOFF TIEOFF_X39Y204
CLEXL
CLEXL_X22Y102
SLICEL SLICE_X36Y102
SLICEX SLICE_X37Y102
INT
INT_X23Y102
TIEOFF TIEOFF_X41Y204
CLEXM
CLEXM_X23Y102
SLICEM SLICE_X38Y102
SLICEX SLICE_X39Y102
INT
INT_X24Y102
TIEOFF TIEOFF_X43Y204
CLEXL
CLEXL_X24Y102
SLICEL SLICE_X40Y102
SLICEX SLICE_X41Y102
INT_BRAM
INT_BRAM_X25Y102
TIEOFF TIEOFF_X45Y204
INT_INTERFACE
INT_INTERFACE_X25Y102
NULL
NULL_X60Y111
INT
INT_X26Y102
TIEOFF TIEOFF_X46Y204
CLEXL
CLEXL_X26Y102
SLICEL SLICE_X42Y102
SLICEX SLICE_X43Y102
INT
INT_X27Y102
TIEOFF TIEOFF_X48Y204
CLEXM
CLEXM_X27Y102
SLICEM SLICE_X44Y102
SLICEX SLICE_X45Y102
INT
INT_X28Y102
TIEOFF TIEOFF_X50Y204
CLEXL
CLEXL_X28Y102
SLICEL SLICE_X46Y102
SLICEX SLICE_X47Y102
INT
INT_X29Y102
TIEOFF TIEOFF_X51Y204
CLEXM
CLEXM_X29Y102
SLICEM SLICE_X48Y102
SLICEX SLICE_X49Y102
INT
INT_X30Y102
TIEOFF TIEOFF_X53Y204
CLEXL
CLEXL_X30Y102
SLICEL SLICE_X50Y102
SLICEX SLICE_X51Y102
INT
INT_X31Y102
TIEOFF TIEOFF_X55Y204
INT_INTERFACE
INT_INTERFACE_X31Y102
NULL
NULL_X73Y111
INT
INT_X32Y102
TIEOFF TIEOFF_X56Y204
CLEXM
CLEXM_X32Y102
SLICEM SLICE_X52Y102
SLICEX SLICE_X53Y102
INT
INT_X33Y102
TIEOFF TIEOFF_X58Y204
CLEXL
CLEXL_X33Y102
SLICEL SLICE_X54Y102
SLICEX SLICE_X55Y102
INT_BRAM
INT_BRAM_X34Y102
TIEOFF TIEOFF_X60Y204
INT_INTERFACE
INT_INTERFACE_X34Y102
NULL
NULL_X80Y111
INT
INT_X35Y102
TIEOFF TIEOFF_X61Y204
CLEXM
CLEXM_X35Y102
SLICEM SLICE_X56Y102
SLICEX SLICE_X57Y102
INT
INT_X36Y102
TIEOFF TIEOFF_X63Y204
CLEXL
CLEXL_X36Y102
SLICEL SLICE_X58Y102
SLICEX SLICE_X59Y102
INT
INT_X37Y102
TIEOFF TIEOFF_X65Y204
INT_INTERFACE
INT_INTERFACE_X37Y102
MCB_CAP_INT
MCB_CAP_INT_X37Y102
IOI_RTERM
IOI_RTERM_X88Y111
EMP_RIOB
EMP_RIOB_X37Y102
EMP_LIOB
EMP_LIOB_X0Y110
IOI_LTERM
IOI_LTERM_X1Y110
INT
INT_X0Y101
TIEOFF TIEOFF_X0Y202
INT_INTERFACE
INT_INTERFACE_X0Y101
MCB_CAP_INT
MCB_CAP_INT_X0Y101
INT
INT_X1Y101
TIEOFF TIEOFF_X2Y202
CLEXL
CLEXL_X1Y101
SLICEL SLICE_X0Y101
SLICEX SLICE_X1Y101
INT
INT_X2Y101
TIEOFF TIEOFF_X4Y202
CLEXM
CLEXM_X2Y101
SLICEM SLICE_X2Y101
SLICEX SLICE_X3Y101
INT_BRAM
INT_BRAM_X3Y101
TIEOFF TIEOFF_X6Y202
INT_INTERFACE
INT_INTERFACE_X3Y101
NULL
NULL_X11Y110
INT
INT_X4Y101
TIEOFF TIEOFF_X7Y202
CLEXL
CLEXL_X4Y101
SLICEL SLICE_X4Y101
SLICEX SLICE_X5Y101
INT
INT_X5Y101
TIEOFF TIEOFF_X9Y202
CLEXM
CLEXM_X5Y101
SLICEM SLICE_X6Y101
SLICEX SLICE_X7Y101
INT
INT_X6Y101
TIEOFF TIEOFF_X11Y202
INT_INTERFACE
INT_INTERFACE_X6Y101
NULL
NULL_X18Y110
INT
INT_X7Y101
TIEOFF TIEOFF_X12Y202
CLEXL
CLEXL_X7Y101
SLICEL SLICE_X8Y101
SLICEX SLICE_X9Y101
INT
INT_X8Y101
TIEOFF TIEOFF_X14Y202
CLEXM
CLEXM_X8Y101
SLICEM SLICE_X10Y101
SLICEX SLICE_X11Y101
INT
INT_X9Y101
TIEOFF TIEOFF_X16Y202
CLEXL
CLEXL_X9Y101
SLICEL SLICE_X12Y101
SLICEX SLICE_X13Y101
INT
INT_X10Y101
TIEOFF TIEOFF_X17Y202
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y110
NULL
NULL_X27Y110
NULL
NULL_X28Y110
NULL
NULL_X29Y110
NULL
NULL_X30Y110
NULL
NULL_X31Y110
NULL
NULL_X32Y110
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y110
INT_TERM
INT_X14Y101
TIEOFF TIEOFF_X24Y202
NULL
NULL_X35Y110
INT
INT_X15Y101
TIEOFF TIEOFF_X26Y202
CLEXM
CLEXM_X15Y101
SLICEM SLICE_X22Y101
SLICEX SLICE_X23Y101
INT
INT_X16Y101
TIEOFF TIEOFF_X28Y202
CLEXL
CLEXL_X16Y101
SLICEL SLICE_X24Y101
SLICEX SLICE_X25Y101
INT
INT_X17Y101
TIEOFF TIEOFF_X29Y202
CLEXM
CLEXM_X17Y101
SLICEM SLICE_X26Y101
SLICEX SLICE_X27Y101
INT
INT_X18Y101
TIEOFF TIEOFF_X31Y202
CLEXL
CLEXL_X18Y101
SLICEL SLICE_X28Y101
SLICEX SLICE_X29Y101
NULL
NULL_X44Y110
REG_V
REG_V_X18Y101
INT
INT_X19Y101
TIEOFF TIEOFF_X34Y202
CLEXM
CLEXM_X19Y101
SLICEM SLICE_X30Y101
SLICEX SLICE_X31Y101
INT
INT_X20Y101
TIEOFF TIEOFF_X36Y202
CLEXL
CLEXL_X20Y101
SLICEL SLICE_X32Y101
SLICEX SLICE_X33Y101
INT
INT_X21Y101
TIEOFF TIEOFF_X38Y202
CLEXM
CLEXM_X21Y101
SLICEM SLICE_X34Y101
SLICEX SLICE_X35Y101
INT
INT_X22Y101
TIEOFF TIEOFF_X39Y202
CLEXL
CLEXL_X22Y101
SLICEL SLICE_X36Y101
SLICEX SLICE_X37Y101
INT
INT_X23Y101
TIEOFF TIEOFF_X41Y202
CLEXM
CLEXM_X23Y101
SLICEM SLICE_X38Y101
SLICEX SLICE_X39Y101
INT
INT_X24Y101
TIEOFF TIEOFF_X43Y202
CLEXL
CLEXL_X24Y101
SLICEL SLICE_X40Y101
SLICEX SLICE_X41Y101
INT_BRAM
INT_BRAM_X25Y101
TIEOFF TIEOFF_X45Y202
INT_INTERFACE
INT_INTERFACE_X25Y101
NULL
NULL_X60Y110
INT
INT_X26Y101
TIEOFF TIEOFF_X46Y202
CLEXL
CLEXL_X26Y101
SLICEL SLICE_X42Y101
SLICEX SLICE_X43Y101
INT
INT_X27Y101
TIEOFF TIEOFF_X48Y202
CLEXM
CLEXM_X27Y101
SLICEM SLICE_X44Y101
SLICEX SLICE_X45Y101
INT
INT_X28Y101
TIEOFF TIEOFF_X50Y202
CLEXL
CLEXL_X28Y101
SLICEL SLICE_X46Y101
SLICEX SLICE_X47Y101
INT
INT_X29Y101
TIEOFF TIEOFF_X51Y202
CLEXM
CLEXM_X29Y101
SLICEM SLICE_X48Y101
SLICEX SLICE_X49Y101
INT
INT_X30Y101
TIEOFF TIEOFF_X53Y202
CLEXL
CLEXL_X30Y101
SLICEL SLICE_X50Y101
SLICEX SLICE_X51Y101
INT
INT_X31Y101
TIEOFF TIEOFF_X55Y202
INT_INTERFACE
INT_INTERFACE_X31Y101
NULL
NULL_X73Y110
INT
INT_X32Y101
TIEOFF TIEOFF_X56Y202
CLEXM
CLEXM_X32Y101
SLICEM SLICE_X52Y101
SLICEX SLICE_X53Y101
INT
INT_X33Y101
TIEOFF TIEOFF_X58Y202
CLEXL
CLEXL_X33Y101
SLICEL SLICE_X54Y101
SLICEX SLICE_X55Y101
INT_BRAM
INT_BRAM_X34Y101
TIEOFF TIEOFF_X60Y202
INT_INTERFACE
INT_INTERFACE_X34Y101
NULL
NULL_X80Y110
INT
INT_X35Y101
TIEOFF TIEOFF_X61Y202
CLEXM
CLEXM_X35Y101
SLICEM SLICE_X56Y101
SLICEX SLICE_X57Y101
INT
INT_X36Y101
TIEOFF TIEOFF_X63Y202
CLEXL
CLEXL_X36Y101
SLICEL SLICE_X58Y101
SLICEX SLICE_X59Y101
INT
INT_X37Y101
TIEOFF TIEOFF_X65Y202
INT_INTERFACE
INT_INTERFACE_X37Y101
MCB_CAP_INT
MCB_CAP_INT_X37Y101
IOI_RTERM
IOI_RTERM_X88Y110
EMP_RIOB
EMP_RIOB_X37Y101
LIOB
LIOB_X0Y100
IOBM D2
IOBS D1
IOI_LTERM
IOI_LTERM_X1Y109
LIOI_INT
LIOI_INT_X0Y100
TIEOFF TIEOFF_X0Y200
LIOI
LIOI_X0Y100
OLOGIC2 OLOGIC_X0Y90
IODELAY2 IODELAY_X0Y90
ILOGIC2 ILOGIC_X0Y90
OLOGIC2 OLOGIC_X0Y91
IODELAY2 IODELAY_X0Y91
ILOGIC2 ILOGIC_X0Y91
TIEOFF TIEOFF_X1Y200
MCB_CAP_INT
MCB_CAP_INT_X0Y100
INT
INT_X1Y100
TIEOFF TIEOFF_X2Y200
CLEXL
CLEXL_X1Y100
SLICEL SLICE_X0Y100
SLICEX SLICE_X1Y100
INT
INT_X2Y100
TIEOFF TIEOFF_X4Y200
CLEXM
CLEXM_X2Y100
SLICEM SLICE_X2Y100
SLICEX SLICE_X3Y100
INT_BRAM
INT_BRAM_X3Y100
TIEOFF TIEOFF_X6Y200
INT_INTERFACE
INT_INTERFACE_X3Y100
BRAMSITE2
BRAMSITE2_X3Y100
RAMB16BWER RAMB16_X0Y50
RAMB8BWER RAMB8_X0Y50
RAMB8BWER RAMB8_X0Y51
INT
INT_X4Y100
TIEOFF TIEOFF_X7Y200
CLEXL
CLEXL_X4Y100
SLICEL SLICE_X4Y100
SLICEX SLICE_X5Y100
INT
INT_X5Y100
TIEOFF TIEOFF_X9Y200
CLEXM
CLEXM_X5Y100
SLICEM SLICE_X6Y100
SLICEX SLICE_X7Y100
INT
INT_X6Y100
TIEOFF TIEOFF_X11Y200
INT_INTERFACE
INT_INTERFACE_X6Y100
MACCSITE2
MACCSITE2_X6Y100
DSP48A1 DSP48_X0Y25
INT
INT_X7Y100
TIEOFF TIEOFF_X12Y200
CLEXL
CLEXL_X7Y100
SLICEL SLICE_X8Y100
SLICEX SLICE_X9Y100
INT
INT_X8Y100
TIEOFF TIEOFF_X14Y200
CLEXM
CLEXM_X8Y100
SLICEM SLICE_X10Y100
SLICEX SLICE_X11Y100
INT
INT_X9Y100
TIEOFF TIEOFF_X16Y200
CLEXL
CLEXL_X9Y100
SLICEL SLICE_X12Y100
SLICEX SLICE_X13Y100
INT
INT_X10Y100
TIEOFF TIEOFF_X17Y200
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y109
NULL
NULL_X27Y109
NULL
NULL_X28Y109
NULL
NULL_X29Y109
NULL
NULL_X30Y109
NULL
NULL_X31Y109
NULL
NULL_X32Y109
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y109
INT_TERM
INT_X14Y100
TIEOFF TIEOFF_X24Y200
NULL
NULL_X35Y109
INT
INT_X15Y100
TIEOFF TIEOFF_X26Y200
CLEXM
CLEXM_X15Y100
SLICEM SLICE_X22Y100
SLICEX SLICE_X23Y100
INT
INT_X16Y100
TIEOFF TIEOFF_X28Y200
CLEXL
CLEXL_X16Y100
SLICEL SLICE_X24Y100
SLICEX SLICE_X25Y100
INT
INT_X17Y100
TIEOFF TIEOFF_X29Y200
CLEXM
CLEXM_X17Y100
SLICEM SLICE_X26Y100
SLICEX SLICE_X27Y100
INT
INT_X18Y100
TIEOFF TIEOFF_X31Y200
CLEXL
CLEXL_X18Y100
SLICEL SLICE_X28Y100
SLICEX SLICE_X29Y100
NULL
NULL_X44Y109
REG_V
REG_V_X18Y100
INT
INT_X19Y100
TIEOFF TIEOFF_X34Y200
CLEXM
CLEXM_X19Y100
SLICEM SLICE_X30Y100
SLICEX SLICE_X31Y100
INT
INT_X20Y100
TIEOFF TIEOFF_X36Y200
CLEXL
CLEXL_X20Y100
SLICEL SLICE_X32Y100
SLICEX SLICE_X33Y100
INT
INT_X21Y100
TIEOFF TIEOFF_X38Y200
CLEXM
CLEXM_X21Y100
SLICEM SLICE_X34Y100
SLICEX SLICE_X35Y100
INT
INT_X22Y100
TIEOFF TIEOFF_X39Y200
CLEXL
CLEXL_X22Y100
SLICEL SLICE_X36Y100
SLICEX SLICE_X37Y100
INT
INT_X23Y100
TIEOFF TIEOFF_X41Y200
CLEXM
CLEXM_X23Y100
SLICEM SLICE_X38Y100
SLICEX SLICE_X39Y100
INT
INT_X24Y100
TIEOFF TIEOFF_X43Y200
CLEXL
CLEXL_X24Y100
SLICEL SLICE_X40Y100
SLICEX SLICE_X41Y100
INT_BRAM
INT_BRAM_X25Y100
TIEOFF TIEOFF_X45Y200
INT_INTERFACE
INT_INTERFACE_X25Y100
BRAMSITE2
BRAMSITE2_X25Y100
RAMB16BWER RAMB16_X2Y50
RAMB8BWER RAMB8_X2Y50
RAMB8BWER RAMB8_X2Y51
INT
INT_X26Y100
TIEOFF TIEOFF_X46Y200
CLEXL
CLEXL_X26Y100
SLICEL SLICE_X42Y100
SLICEX SLICE_X43Y100
INT
INT_X27Y100
TIEOFF TIEOFF_X48Y200
CLEXM
CLEXM_X27Y100
SLICEM SLICE_X44Y100
SLICEX SLICE_X45Y100
INT
INT_X28Y100
TIEOFF TIEOFF_X50Y200
CLEXL
CLEXL_X28Y100
SLICEL SLICE_X46Y100
SLICEX SLICE_X47Y100
INT
INT_X29Y100
TIEOFF TIEOFF_X51Y200
CLEXM
CLEXM_X29Y100
SLICEM SLICE_X48Y100
SLICEX SLICE_X49Y100
INT
INT_X30Y100
TIEOFF TIEOFF_X53Y200
CLEXL
CLEXL_X30Y100
SLICEL SLICE_X50Y100
SLICEX SLICE_X51Y100
INT
INT_X31Y100
TIEOFF TIEOFF_X55Y200
INT_INTERFACE
INT_INTERFACE_X31Y100
MACCSITE2
MACCSITE2_X31Y100
DSP48A1 DSP48_X1Y25
INT
INT_X32Y100
TIEOFF TIEOFF_X56Y200
CLEXM
CLEXM_X32Y100
SLICEM SLICE_X52Y100
SLICEX SLICE_X53Y100
INT
INT_X33Y100
TIEOFF TIEOFF_X58Y200
CLEXL
CLEXL_X33Y100
SLICEL SLICE_X54Y100
SLICEX SLICE_X55Y100
INT_BRAM
INT_BRAM_X34Y100
TIEOFF TIEOFF_X60Y200
INT_INTERFACE
INT_INTERFACE_X34Y100
BRAMSITE2
BRAMSITE2_X34Y100
RAMB16BWER RAMB16_X3Y50
RAMB8BWER RAMB8_X3Y50
RAMB8BWER RAMB8_X3Y51
INT
INT_X35Y100
TIEOFF TIEOFF_X61Y200
CLEXM
CLEXM_X35Y100
SLICEM SLICE_X56Y100
SLICEX SLICE_X57Y100
INT
INT_X36Y100
TIEOFF TIEOFF_X63Y200
CLEXL
CLEXL_X36Y100
SLICEL SLICE_X58Y100
SLICEX SLICE_X59Y100
IOI_INT
IOI_INT_X37Y100
TIEOFF TIEOFF_X65Y200
RIOI
RIOI_X37Y100
OLOGIC2 OLOGIC_X27Y90
IODELAY2 IODELAY_X27Y90
ILOGIC2 ILOGIC_X27Y90
OLOGIC2 OLOGIC_X27Y91
IODELAY2 IODELAY_X27Y91
ILOGIC2 ILOGIC_X27Y91
TIEOFF TIEOFF_X66Y200
MCB_CAP_INT
MCB_CAP_INT_X37Y100
IOI_RTERM
IOI_RTERM_X88Y109
RIOB
RIOB_X37Y100
IOBS C22
IOBM C20
EMP_LIOB
EMP_LIOB_X0Y108
IOI_LTERM
IOI_LTERM_X1Y108
INT
INT_X0Y99
TIEOFF TIEOFF_X0Y198
INT_INTERFACE
INT_INTERFACE_X0Y99
MCB_CAP_INT
MCB_CAP_INT_X0Y99
INT
INT_X1Y99
TIEOFF TIEOFF_X2Y198
CLEXL
CLEXL_X1Y99
SLICEL SLICE_X0Y99
SLICEX SLICE_X1Y99
INT
INT_X2Y99
TIEOFF TIEOFF_X4Y198
CLEXM
CLEXM_X2Y99
SLICEM SLICE_X2Y99
SLICEX SLICE_X3Y99
INT_BRAM
INT_BRAM_X3Y99
TIEOFF TIEOFF_X6Y198
INT_INTERFACE
INT_INTERFACE_X3Y99
NULL
NULL_X11Y108
INT
INT_X4Y99
TIEOFF TIEOFF_X7Y198
CLEXL
CLEXL_X4Y99
SLICEL SLICE_X4Y99
SLICEX SLICE_X5Y99
INT
INT_X5Y99
TIEOFF TIEOFF_X9Y198
CLEXM
CLEXM_X5Y99
SLICEM SLICE_X6Y99
SLICEX SLICE_X7Y99
INT
INT_X6Y99
TIEOFF TIEOFF_X11Y198
INT_INTERFACE
INT_INTERFACE_X6Y99
NULL
NULL_X18Y108
INT
INT_X7Y99
TIEOFF TIEOFF_X12Y198
CLEXL
CLEXL_X7Y99
SLICEL SLICE_X8Y99
SLICEX SLICE_X9Y99
INT
INT_X8Y99
TIEOFF TIEOFF_X14Y198
CLEXM
CLEXM_X8Y99
SLICEM SLICE_X10Y99
SLICEX SLICE_X11Y99
INT
INT_X9Y99
TIEOFF TIEOFF_X16Y198
CLEXL
CLEXL_X9Y99
SLICEL SLICE_X12Y99
SLICEX SLICE_X13Y99
INT
INT_X10Y99
TIEOFF TIEOFF_X17Y198
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y108
NULL
NULL_X27Y108
NULL
NULL_X28Y108
NULL
NULL_X29Y108
NULL
NULL_X30Y108
NULL
NULL_X31Y108
NULL
NULL_X32Y108
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y108
INT_TERM
INT_X14Y99
TIEOFF TIEOFF_X24Y198
NULL
NULL_X35Y108
INT
INT_X15Y99
TIEOFF TIEOFF_X26Y198
CLEXM
CLEXM_X15Y99
SLICEM SLICE_X22Y99
SLICEX SLICE_X23Y99
INT
INT_X16Y99
TIEOFF TIEOFF_X28Y198
CLEXL
CLEXL_X16Y99
SLICEL SLICE_X24Y99
SLICEX SLICE_X25Y99
INT
INT_X17Y99
TIEOFF TIEOFF_X29Y198
CLEXM
CLEXM_X17Y99
SLICEM SLICE_X26Y99
SLICEX SLICE_X27Y99
INT
INT_X18Y99
TIEOFF TIEOFF_X31Y198
CLEXL
CLEXL_X18Y99
SLICEL SLICE_X28Y99
SLICEX SLICE_X29Y99
NULL
NULL_X44Y108
REG_V
REG_V_X18Y99
INT
INT_X19Y99
TIEOFF TIEOFF_X34Y198
CLEXM
CLEXM_X19Y99
SLICEM SLICE_X30Y99
SLICEX SLICE_X31Y99
INT
INT_X20Y99
TIEOFF TIEOFF_X36Y198
CLEXL
CLEXL_X20Y99
SLICEL SLICE_X32Y99
SLICEX SLICE_X33Y99
INT
INT_X21Y99
TIEOFF TIEOFF_X38Y198
CLEXM
CLEXM_X21Y99
SLICEM SLICE_X34Y99
SLICEX SLICE_X35Y99
INT
INT_X22Y99
TIEOFF TIEOFF_X39Y198
CLEXL
CLEXL_X22Y99
SLICEL SLICE_X36Y99
SLICEX SLICE_X37Y99
INT
INT_X23Y99
TIEOFF TIEOFF_X41Y198
CLEXM
CLEXM_X23Y99
SLICEM SLICE_X38Y99
SLICEX SLICE_X39Y99
INT
INT_X24Y99
TIEOFF TIEOFF_X43Y198
CLEXL
CLEXL_X24Y99
SLICEL SLICE_X40Y99
SLICEX SLICE_X41Y99
INT_BRAM
INT_BRAM_X25Y99
TIEOFF TIEOFF_X45Y198
INT_INTERFACE
INT_INTERFACE_X25Y99
NULL
NULL_X60Y108
INT
INT_X26Y99
TIEOFF TIEOFF_X46Y198
CLEXL
CLEXL_X26Y99
SLICEL SLICE_X42Y99
SLICEX SLICE_X43Y99
INT
INT_X27Y99
TIEOFF TIEOFF_X48Y198
CLEXM
CLEXM_X27Y99
SLICEM SLICE_X44Y99
SLICEX SLICE_X45Y99
INT
INT_X28Y99
TIEOFF TIEOFF_X50Y198
CLEXL
CLEXL_X28Y99
SLICEL SLICE_X46Y99
SLICEX SLICE_X47Y99
INT
INT_X29Y99
TIEOFF TIEOFF_X51Y198
CLEXM
CLEXM_X29Y99
SLICEM SLICE_X48Y99
SLICEX SLICE_X49Y99
INT
INT_X30Y99
TIEOFF TIEOFF_X53Y198
CLEXL
CLEXL_X30Y99
SLICEL SLICE_X50Y99
SLICEX SLICE_X51Y99
INT
INT_X31Y99
TIEOFF TIEOFF_X55Y198
INT_INTERFACE
INT_INTERFACE_X31Y99
NULL
NULL_X73Y108
INT
INT_X32Y99
TIEOFF TIEOFF_X56Y198
CLEXM
CLEXM_X32Y99
SLICEM SLICE_X52Y99
SLICEX SLICE_X53Y99
INT
INT_X33Y99
TIEOFF TIEOFF_X58Y198
CLEXL
CLEXL_X33Y99
SLICEL SLICE_X54Y99
SLICEX SLICE_X55Y99
INT_BRAM
INT_BRAM_X34Y99
TIEOFF TIEOFF_X60Y198
INT_INTERFACE
INT_INTERFACE_X34Y99
NULL
NULL_X80Y108
INT
INT_X35Y99
TIEOFF TIEOFF_X61Y198
CLEXM
CLEXM_X35Y99
SLICEM SLICE_X56Y99
SLICEX SLICE_X57Y99
INT
INT_X36Y99
TIEOFF TIEOFF_X63Y198
CLEXL
CLEXL_X36Y99
SLICEL SLICE_X58Y99
SLICEX SLICE_X59Y99
INT
INT_X37Y99
TIEOFF TIEOFF_X65Y198
INT_INTERFACE
INT_INTERFACE_X37Y99
MCB_CAP_INT
MCB_CAP_INT_X37Y99
IOI_RTERM
IOI_RTERM_X88Y108
EMP_RIOB
EMP_RIOB_X37Y99
EMP_LIOB
EMP_LIOB_X0Y107
IOI_LTERM
IOI_LTERM_X1Y107
INT
INT_X0Y98
TIEOFF TIEOFF_X0Y196
INT_INTERFACE
INT_INTERFACE_X0Y98
MCB_CAP_INT
MCB_CAP_INT_X0Y98
INT
INT_X1Y98
TIEOFF TIEOFF_X2Y196
CLEXL
CLEXL_X1Y98
SLICEL SLICE_X0Y98
SLICEX SLICE_X1Y98
INT
INT_X2Y98
TIEOFF TIEOFF_X4Y196
CLEXM
CLEXM_X2Y98
SLICEM SLICE_X2Y98
SLICEX SLICE_X3Y98
INT_BRAM
INT_BRAM_X3Y98
TIEOFF TIEOFF_X6Y196
INT_INTERFACE
INT_INTERFACE_X3Y98
NULL
NULL_X11Y107
INT
INT_X4Y98
TIEOFF TIEOFF_X7Y196
CLEXL
CLEXL_X4Y98
SLICEL SLICE_X4Y98
SLICEX SLICE_X5Y98
INT
INT_X5Y98
TIEOFF TIEOFF_X9Y196
CLEXM
CLEXM_X5Y98
SLICEM SLICE_X6Y98
SLICEX SLICE_X7Y98
INT
INT_X6Y98
TIEOFF TIEOFF_X11Y196
INT_INTERFACE
INT_INTERFACE_X6Y98
NULL
NULL_X18Y107
INT
INT_X7Y98
TIEOFF TIEOFF_X12Y196
CLEXL
CLEXL_X7Y98
SLICEL SLICE_X8Y98
SLICEX SLICE_X9Y98
INT
INT_X8Y98
TIEOFF TIEOFF_X14Y196
CLEXM
CLEXM_X8Y98
SLICEM SLICE_X10Y98
SLICEX SLICE_X11Y98
INT
INT_X9Y98
TIEOFF TIEOFF_X16Y196
CLEXL
CLEXL_X9Y98
SLICEL SLICE_X12Y98
SLICEX SLICE_X13Y98
INT
INT_X10Y98
TIEOFF TIEOFF_X17Y196
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y107
NULL
NULL_X27Y107
NULL
NULL_X28Y107
NULL
NULL_X29Y107
NULL
NULL_X30Y107
NULL
NULL_X31Y107
NULL
NULL_X32Y107
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y107
INT_TERM
INT_X14Y98
TIEOFF TIEOFF_X24Y196
NULL
NULL_X35Y107
INT
INT_X15Y98
TIEOFF TIEOFF_X26Y196
CLEXM
CLEXM_X15Y98
SLICEM SLICE_X22Y98
SLICEX SLICE_X23Y98
INT
INT_X16Y98
TIEOFF TIEOFF_X28Y196
CLEXL
CLEXL_X16Y98
SLICEL SLICE_X24Y98
SLICEX SLICE_X25Y98
INT
INT_X17Y98
TIEOFF TIEOFF_X29Y196
CLEXM
CLEXM_X17Y98
SLICEM SLICE_X26Y98
SLICEX SLICE_X27Y98
INT
INT_X18Y98
TIEOFF TIEOFF_X31Y196
CLEXL
CLEXL_X18Y98
SLICEL SLICE_X28Y98
SLICEX SLICE_X29Y98
NULL
NULL_X44Y107
REG_V
REG_V_X18Y98
INT
INT_X19Y98
TIEOFF TIEOFF_X34Y196
CLEXM
CLEXM_X19Y98
SLICEM SLICE_X30Y98
SLICEX SLICE_X31Y98
INT
INT_X20Y98
TIEOFF TIEOFF_X36Y196
CLEXL
CLEXL_X20Y98
SLICEL SLICE_X32Y98
SLICEX SLICE_X33Y98
INT
INT_X21Y98
TIEOFF TIEOFF_X38Y196
CLEXM
CLEXM_X21Y98
SLICEM SLICE_X34Y98
SLICEX SLICE_X35Y98
INT
INT_X22Y98
TIEOFF TIEOFF_X39Y196
CLEXL
CLEXL_X22Y98
SLICEL SLICE_X36Y98
SLICEX SLICE_X37Y98
INT
INT_X23Y98
TIEOFF TIEOFF_X41Y196
CLEXM
CLEXM_X23Y98
SLICEM SLICE_X38Y98
SLICEX SLICE_X39Y98
INT
INT_X24Y98
TIEOFF TIEOFF_X43Y196
CLEXL
CLEXL_X24Y98
SLICEL SLICE_X40Y98
SLICEX SLICE_X41Y98
INT_BRAM
INT_BRAM_X25Y98
TIEOFF TIEOFF_X45Y196
INT_INTERFACE
INT_INTERFACE_X25Y98
NULL
NULL_X60Y107
INT
INT_X26Y98
TIEOFF TIEOFF_X46Y196
CLEXL
CLEXL_X26Y98
SLICEL SLICE_X42Y98
SLICEX SLICE_X43Y98
INT
INT_X27Y98
TIEOFF TIEOFF_X48Y196
CLEXM
CLEXM_X27Y98
SLICEM SLICE_X44Y98
SLICEX SLICE_X45Y98
INT
INT_X28Y98
TIEOFF TIEOFF_X50Y196
CLEXL
CLEXL_X28Y98
SLICEL SLICE_X46Y98
SLICEX SLICE_X47Y98
INT
INT_X29Y98
TIEOFF TIEOFF_X51Y196
CLEXM
CLEXM_X29Y98
SLICEM SLICE_X48Y98
SLICEX SLICE_X49Y98
INT
INT_X30Y98
TIEOFF TIEOFF_X53Y196
CLEXL
CLEXL_X30Y98
SLICEL SLICE_X50Y98
SLICEX SLICE_X51Y98
INT
INT_X31Y98
TIEOFF TIEOFF_X55Y196
INT_INTERFACE
INT_INTERFACE_X31Y98
NULL
NULL_X73Y107
INT
INT_X32Y98
TIEOFF TIEOFF_X56Y196
CLEXM
CLEXM_X32Y98
SLICEM SLICE_X52Y98
SLICEX SLICE_X53Y98
INT
INT_X33Y98
TIEOFF TIEOFF_X58Y196
CLEXL
CLEXL_X33Y98
SLICEL SLICE_X54Y98
SLICEX SLICE_X55Y98
INT_BRAM
INT_BRAM_X34Y98
TIEOFF TIEOFF_X60Y196
INT_INTERFACE
INT_INTERFACE_X34Y98
NULL
NULL_X80Y107
INT
INT_X35Y98
TIEOFF TIEOFF_X61Y196
CLEXM
CLEXM_X35Y98
SLICEM SLICE_X56Y98
SLICEX SLICE_X57Y98
INT
INT_X36Y98
TIEOFF TIEOFF_X63Y196
CLEXL
CLEXL_X36Y98
SLICEL SLICE_X58Y98
SLICEX SLICE_X59Y98
INT
INT_X37Y98
TIEOFF TIEOFF_X65Y196
INT_INTERFACE
INT_INTERFACE_X37Y98
MCB_CAP_INT
MCB_CAP_INT_X37Y98
IOI_RTERM
IOI_RTERM_X88Y107
EMP_RIOB
EMP_RIOB_X37Y98
LIOB
LIOB_X0Y97
IOBM H4
IOBS G4
IOI_LTERM
IOI_LTERM_X1Y106
LIOI_INT
LIOI_INT_X0Y97
TIEOFF TIEOFF_X0Y194
LIOI
LIOI_X0Y97
OLOGIC2 OLOGIC_X0Y88
IODELAY2 IODELAY_X0Y88
ILOGIC2 ILOGIC_X0Y88
OLOGIC2 OLOGIC_X0Y89
IODELAY2 IODELAY_X0Y89
ILOGIC2 ILOGIC_X0Y89
TIEOFF TIEOFF_X1Y194
MCB_CAP_INT
MCB_CAP_INT_X0Y97
INT
INT_X1Y97
TIEOFF TIEOFF_X2Y194
CLEXL
CLEXL_X1Y97
SLICEL SLICE_X0Y97
SLICEX SLICE_X1Y97
INT
INT_X2Y97
TIEOFF TIEOFF_X4Y194
CLEXM
CLEXM_X2Y97
SLICEM SLICE_X2Y97
SLICEX SLICE_X3Y97
INT_BRAM
INT_BRAM_X3Y97
TIEOFF TIEOFF_X6Y194
INT_INTERFACE
INT_INTERFACE_X3Y97
NULL
NULL_X11Y106
INT
INT_X4Y97
TIEOFF TIEOFF_X7Y194
CLEXL
CLEXL_X4Y97
SLICEL SLICE_X4Y97
SLICEX SLICE_X5Y97
INT
INT_X5Y97
TIEOFF TIEOFF_X9Y194
CLEXM
CLEXM_X5Y97
SLICEM SLICE_X6Y97
SLICEX SLICE_X7Y97
INT
INT_X6Y97
TIEOFF TIEOFF_X11Y194
INT_INTERFACE
INT_INTERFACE_X6Y97
NULL
NULL_X18Y106
INT
INT_X7Y97
TIEOFF TIEOFF_X12Y194
CLEXL
CLEXL_X7Y97
SLICEL SLICE_X8Y97
SLICEX SLICE_X9Y97
INT
INT_X8Y97
TIEOFF TIEOFF_X14Y194
CLEXM
CLEXM_X8Y97
SLICEM SLICE_X10Y97
SLICEX SLICE_X11Y97
INT
INT_X9Y97
TIEOFF TIEOFF_X16Y194
CLEXL
CLEXL_X9Y97
SLICEL SLICE_X12Y97
SLICEX SLICE_X13Y97
INT
INT_X10Y97
TIEOFF TIEOFF_X17Y194
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y106
NULL
NULL_X27Y106
NULL
NULL_X28Y106
NULL
NULL_X29Y106
NULL
NULL_X30Y106
NULL
NULL_X31Y106
NULL
NULL_X32Y106
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y106
INT_TERM
INT_X14Y97
TIEOFF TIEOFF_X24Y194
NULL
NULL_X35Y106
INT
INT_X15Y97
TIEOFF TIEOFF_X26Y194
CLEXM
CLEXM_X15Y97
SLICEM SLICE_X22Y97
SLICEX SLICE_X23Y97
INT
INT_X16Y97
TIEOFF TIEOFF_X28Y194
CLEXL
CLEXL_X16Y97
SLICEL SLICE_X24Y97
SLICEX SLICE_X25Y97
INT
INT_X17Y97
TIEOFF TIEOFF_X29Y194
CLEXM
CLEXM_X17Y97
SLICEM SLICE_X26Y97
SLICEX SLICE_X27Y97
INT
INT_X18Y97
TIEOFF TIEOFF_X31Y194
CLEXL
CLEXL_X18Y97
SLICEL SLICE_X28Y97
SLICEX SLICE_X29Y97
NULL
NULL_X44Y106
REG_V
REG_V_X18Y97
INT
INT_X19Y97
TIEOFF TIEOFF_X34Y194
CLEXM
CLEXM_X19Y97
SLICEM SLICE_X30Y97
SLICEX SLICE_X31Y97
INT
INT_X20Y97
TIEOFF TIEOFF_X36Y194
CLEXL
CLEXL_X20Y97
SLICEL SLICE_X32Y97
SLICEX SLICE_X33Y97
INT
INT_X21Y97
TIEOFF TIEOFF_X38Y194
CLEXM
CLEXM_X21Y97
SLICEM SLICE_X34Y97
SLICEX SLICE_X35Y97
INT
INT_X22Y97
TIEOFF TIEOFF_X39Y194
CLEXL
CLEXL_X22Y97
SLICEL SLICE_X36Y97
SLICEX SLICE_X37Y97
INT
INT_X23Y97
TIEOFF TIEOFF_X41Y194
CLEXM
CLEXM_X23Y97
SLICEM SLICE_X38Y97
SLICEX SLICE_X39Y97
INT
INT_X24Y97
TIEOFF TIEOFF_X43Y194
CLEXL
CLEXL_X24Y97
SLICEL SLICE_X40Y97
SLICEX SLICE_X41Y97
INT_BRAM
INT_BRAM_X25Y97
TIEOFF TIEOFF_X45Y194
INT_INTERFACE
INT_INTERFACE_X25Y97
NULL
NULL_X60Y106
INT
INT_X26Y97
TIEOFF TIEOFF_X46Y194
CLEXL
CLEXL_X26Y97
SLICEL SLICE_X42Y97
SLICEX SLICE_X43Y97
INT
INT_X27Y97
TIEOFF TIEOFF_X48Y194
CLEXM
CLEXM_X27Y97
SLICEM SLICE_X44Y97
SLICEX SLICE_X45Y97
INT
INT_X28Y97
TIEOFF TIEOFF_X50Y194
CLEXL
CLEXL_X28Y97
SLICEL SLICE_X46Y97
SLICEX SLICE_X47Y97
INT
INT_X29Y97
TIEOFF TIEOFF_X51Y194
CLEXM
CLEXM_X29Y97
SLICEM SLICE_X48Y97
SLICEX SLICE_X49Y97
INT
INT_X30Y97
TIEOFF TIEOFF_X53Y194
CLEXL
CLEXL_X30Y97
SLICEL SLICE_X50Y97
SLICEX SLICE_X51Y97
INT
INT_X31Y97
TIEOFF TIEOFF_X55Y194
INT_INTERFACE
INT_INTERFACE_X31Y97
NULL
NULL_X73Y106
INT
INT_X32Y97
TIEOFF TIEOFF_X56Y194
CLEXM
CLEXM_X32Y97
SLICEM SLICE_X52Y97
SLICEX SLICE_X53Y97
INT
INT_X33Y97
TIEOFF TIEOFF_X58Y194
CLEXL
CLEXL_X33Y97
SLICEL SLICE_X54Y97
SLICEX SLICE_X55Y97
INT_BRAM
INT_BRAM_X34Y97
TIEOFF TIEOFF_X60Y194
INT_INTERFACE
INT_INTERFACE_X34Y97
NULL
NULL_X80Y106
INT
INT_X35Y97
TIEOFF TIEOFF_X61Y194
CLEXM
CLEXM_X35Y97
SLICEM SLICE_X56Y97
SLICEX SLICE_X57Y97
INT
INT_X36Y97
TIEOFF TIEOFF_X63Y194
CLEXL
CLEXL_X36Y97
SLICEL SLICE_X58Y97
SLICEX SLICE_X59Y97
IOI_INT
IOI_INT_X37Y97
TIEOFF TIEOFF_X65Y194
RIOI
RIOI_X37Y97
OLOGIC2 OLOGIC_X27Y88
IODELAY2 IODELAY_X27Y88
ILOGIC2 ILOGIC_X27Y88
OLOGIC2 OLOGIC_X27Y89
IODELAY2 IODELAY_X27Y89
ILOGIC2 ILOGIC_X27Y89
TIEOFF TIEOFF_X66Y194
MCB_CAP_INT
MCB_CAP_INT_X37Y97
IOI_RTERM
IOI_RTERM_X88Y106
RIOB
RIOB_X37Y97
IOBS K16
IOBM L15
EMP_LIOB
EMP_LIOB_X0Y105
IOI_LTERM
IOI_LTERM_X1Y105
INT_BRK
INT_X0Y96
TIEOFF TIEOFF_X0Y192
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X0Y96
MCB_CAP_INT
MCB_CAP_INT_X0Y96
INT_BRK
INT_X1Y96
TIEOFF TIEOFF_X2Y192
CLEXL
CLEXL_X1Y96
SLICEL SLICE_X0Y96
SLICEX SLICE_X1Y96
INT_BRK
INT_X2Y96
TIEOFF TIEOFF_X4Y192
CLEXM
CLEXM_X2Y96
SLICEM SLICE_X2Y96
SLICEX SLICE_X3Y96
INT_BRAM_BRK
INT_BRAM_BRK_X3Y96
TIEOFF TIEOFF_X6Y192
INT_INTERFACE
INT_INTERFACE_X3Y96
BRAMSITE2
BRAMSITE2_X3Y96
RAMB16BWER RAMB16_X0Y48
RAMB8BWER RAMB8_X0Y48
RAMB8BWER RAMB8_X0Y49
INT_BRK
INT_X4Y96
TIEOFF TIEOFF_X7Y192
CLEXL
CLEXL_X4Y96
SLICEL SLICE_X4Y96
SLICEX SLICE_X5Y96
INT_BRK
INT_X5Y96
TIEOFF TIEOFF_X9Y192
CLEXM
CLEXM_X5Y96
SLICEM SLICE_X6Y96
SLICEX SLICE_X7Y96
INT_BRK
INT_X6Y96
TIEOFF TIEOFF_X11Y192
INT_INTERFACE
INT_INTERFACE_X6Y96
MACCSITE2
MACCSITE2_X6Y96
DSP48A1 DSP48_X0Y24
INT_BRK
INT_X7Y96
TIEOFF TIEOFF_X12Y192
CLEXL
CLEXL_X7Y96
SLICEL SLICE_X8Y96
SLICEX SLICE_X9Y96
INT_BRK
INT_X8Y96
TIEOFF TIEOFF_X14Y192
CLEXM
CLEXM_X8Y96
SLICEM SLICE_X10Y96
SLICEX SLICE_X11Y96
INT_BRK
INT_X9Y96
TIEOFF TIEOFF_X16Y192
CLEXL
CLEXL_X9Y96
SLICEL SLICE_X12Y96
SLICEX SLICE_X13Y96
INT_BRK
INT_X10Y96
TIEOFF TIEOFF_X17Y192
INT_INTERFACE_RTERM
INT_INTERFACE_RTERM_X26Y105
NULL
NULL_X27Y105
NULL
NULL_X28Y105
NULL
NULL_X29Y105
NULL
NULL_X30Y105
NULL
NULL_X31Y105
NULL
NULL_X32Y105
INT_INTERFACE_LTERM
INT_INTERFACE_LTERM_X33Y105
INT_TERM_BRK
INT_X14Y96
TIEOFF TIEOFF_X24Y192
NULL
NULL_X35Y105
INT_BRK
INT_X15Y96
TIEOFF TIEOFF_X26Y192
CLEXM
CLEXM_X15Y96
SLICEM SLICE_X22Y96
SLICEX SLICE_X23Y96
INT_BRK
INT_X16Y96
TIEOFF TIEOFF_X28Y192
CLEXL
CLEXL_X16Y96
SLICEL SLICE_X24Y96
SLICEX SLICE_X25Y96
INT_BRK
INT_X17Y96
TIEOFF TIEOFF_X29Y192
CLEXM
CLEXM_X17Y96
SLICEM SLICE_X26Y96
SLICEX SLICE_X27Y96
INT_BRK
INT_X18Y96
TIEOFF TIEOFF_X31Y192
CLEXL
CLEXL_X18Y96
SLICEL SLICE_X28Y96
SLICEX SLICE_X29Y96
NULL
NULL_X44Y105
REG_V_MIDBUF_TOP
REG_V_MIDBUF_TOP_X18Y96
INT_BRK
INT_X19Y96
TIEOFF TIEOFF_X34Y192
CLEXM
CLEXM_X19Y96
SLICEM SLICE_X30Y96
SLICEX SLICE_X31Y96
INT_BRK
INT_X20Y96
TIEOFF TIEOFF_X36Y192
CLEXL
CLEXL_X20Y96
SLICEL SLICE_X32Y96
SLICEX SLICE_X33Y96
INT_BRK
INT_X21Y96
TIEOFF TIEOFF_X38Y192
CLEXM
CLEXM_X21Y96
SLICEM SLICE_X34Y96
SLICEX SLICE_X35Y96
INT_BRK
INT_X22Y96
TIEOFF TIEOFF_X39Y192
CLEXL
CLEXL_X22Y96
SLICEL SLICE_X36Y96
SLICEX SLICE_X37Y96
INT_BRK
INT_X23Y96
TIEOFF TIEOFF_X41Y192
CLEXM
CLEXM_X23Y96
SLICEM SLICE_X38Y96
SLICEX SLICE_X39Y96
INT_BRK
INT_X24Y96
TIEOFF TIEOFF_X43Y192
CLEXL
CLEXL_X24Y96
SLICEL SLICE_X40Y96
SLICEX SLICE_X41Y96
INT_BRAM_BRK
INT_BRAM_BRK_X25Y96
TIEOFF TIEOFF_X45Y192
INT_INTERFACE
INT_INTERFACE_X25Y96
BRAMSITE2
BRAMSITE2_X25Y96
RAMB16BWER RAMB16_X2Y48
RAMB8BWER RAMB8_X2Y48
RAMB8BWER RAMB8_X2Y49
INT_BRK
INT_X26Y96
TIEOFF TIEOFF_X46Y192
CLEXL
CLEXL_X26Y96
SLICEL SLICE_X42Y96
SLICEX SLICE_X43Y96
INT_BRK
INT_X27Y96
TIEOFF TIEOFF_X48Y192
CLEXM
CLEXM_X27Y96
SLICEM SLICE_X44Y96
SLICEX SLICE_X45Y96
INT_BRK
INT_X28Y96
TIEOFF TIEOFF_X50Y192
CLEXL
CLEXL_X28Y96
SLICEL SLICE_X46Y96
SLICEX SLICE_X47Y96
INT_BRK
INT_X29Y96
TIEOFF TIEOFF_X51Y192
CLEXM
CLEXM_X29Y96
SLICEM SLICE_X48Y96
SLICEX SLICE_X49Y96
INT_BRK
INT_X30Y96
TIEOFF TIEOFF_X53Y192
CLEXL
CLEXL_X30Y96
SLICEL SLICE_X50Y96
SLICEX SLICE_X51Y96
INT_BRK
INT_X31Y96
TIEOFF TIEOFF_X55Y192
INT_INTERFACE
INT_INTERFACE_X31Y96
MACCSITE2
MACCSITE2_X31Y96
DSP48A1 DSP48_X1Y24
INT_BRK
INT_X32Y96
TIEOFF TIEOFF_X56Y192
CLEXM
CLEXM_X32Y96
SLICEM SLICE_X52Y96
SLICEX SLICE_X53Y96
INT_BRK
INT_X33Y96
TIEOFF TIEOFF_X58Y192
CLEXL
CLEXL_X33Y96
SLICEL SLICE_X54Y96
SLICEX SLICE_X55Y96
INT_BRAM_BRK
INT_BRAM_BRK_X34Y96
TIEOFF TIEOFF_X60Y192
INT_INTERFACE
INT_INTERFACE_X34Y96
BRAMSITE2
BRAMSITE2_X34Y96
RAMB16BWER RAMB16_X3Y48
RAMB8BWER RAMB8_X3Y48
RAMB8BWER RAMB8_X3Y49
INT_BRK
INT_X35Y96
TIEOFF TIEOFF_X61Y192
CLEXM
CLEXM_X35Y96
SLICEM SLICE_X56Y96
SLICEX SLICE_X57Y96
INT_BRK
INT_X36Y96
TIEOFF TIEOFF_X63Y192
CLEXL
CLEXL_X36Y96
SLICEL SLICE_X58Y96
SLICEX SLICE_X59Y96
INT_BRK
INT_X37Y96
TIEOFF TIEOFF_X65Y192
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X37Y96
MCB_CAP_INT
MCB_CAP_INT_X37Y96
IOI_RTERM
IOI_RTERM_X88Y105
EMP_RIOB
EMP_RIOB_X37Y96
LIOB
LIOB_X0Y95
IOBM K7
IOBS K8
IOI_LTERM
IOI_LTERM_X1Y104
LIOI_INT
LIOI_INT_X0Y95
TIEOFF TIEOFF_X0Y190
LIOI
LIOI_X0Y95
OLOGIC2 OLOGIC_X0Y86
IODELAY2 IODELAY_X0Y86
ILOGIC2 ILOGIC_X0Y86
OLOGIC2 OLOGIC_X0Y87
IODELAY2 IODELAY_X0Y87
ILOGIC2 ILOGIC_X0Y87
TIEOFF TIEOFF_X1Y190
MCB_CAP_INT
MCB_CAP_INT_X0Y95
INT
INT_X1Y95
TIEOFF TIEOFF_X2Y190
CLEXL
CLEXL_X1Y95
SLICEL SLICE_X0Y95
SLICEX SLICE_X1Y95
INT
INT_X2Y95
TIEOFF TIEOFF_X4Y190
CLEXM
CLEXM_X2Y95
SLICEM SLICE_X2Y95
SLICEX SLICE_X3Y95
INT_BRAM
INT_BRAM_X3Y95
TIEOFF TIEOFF_X6Y190
INT_INTERFACE
INT_INTERFACE_X3Y95
NULL
NULL_X11Y104
INT
INT_X4Y95
TIEOFF TIEOFF_X7Y190
CLEXL
CLEXL_X4Y95
SLICEL SLICE_X4Y95
SLICEX SLICE_X5Y95
INT
INT_X5Y95
TIEOFF TIEOFF_X9Y190
CLEXM
CLEXM_X5Y95
SLICEM SLICE_X6Y95
SLICEX SLICE_X7Y95
INT
INT_X6Y95
TIEOFF TIEOFF_X11Y190
INT_INTERFACE
INT_INTERFACE_X6Y95
NULL
NULL_X18Y104
INT
INT_X7Y95
TIEOFF TIEOFF_X12Y190
CLEXL
CLEXL_X7Y95
SLICEL SLICE_X8Y95
SLICEX SLICE_X9Y95
INT
INT_X8Y95
TIEOFF TIEOFF_X14Y190
CLEXM
CLEXM_X8Y95
SLICEM SLICE_X10Y95
SLICEX SLICE_X11Y95
INT
INT_X9Y95
TIEOFF TIEOFF_X16Y190
CLEXL
CLEXL_X9Y95
SLICEL SLICE_X12Y95
SLICEX SLICE_X13Y95
INT
INT_X10Y95
TIEOFF TIEOFF_X17Y190
CLEXM
CLEXM_X10Y95
SLICEM SLICE_X14Y95
SLICEX SLICE_X15Y95
INT
INT_X11Y95
TIEOFF TIEOFF_X19Y190
CLEXL
CLEXL_X11Y95
SLICEL SLICE_X16Y95
SLICEX SLICE_X17Y95
INT_BRAM
INT_BRAM_X12Y95
TIEOFF TIEOFF_X21Y190
INT_INTERFACE
INT_INTERFACE_X12Y95
NULL
NULL_X31Y104
INT
INT_X13Y95
TIEOFF TIEOFF_X22Y190
CLEXM
CLEXM_X13Y95
SLICEM SLICE_X18Y95
SLICEX SLICE_X19Y95
INT
INT_X14Y95
TIEOFF TIEOFF_X24Y190
CLEXL
CLEXL_X14Y95
SLICEL SLICE_X20Y95
SLICEX SLICE_X21Y95
INT
INT_X15Y95
TIEOFF TIEOFF_X26Y190
CLEXM
CLEXM_X15Y95
SLICEM SLICE_X22Y95
SLICEX SLICE_X23Y95
INT
INT_X16Y95
TIEOFF TIEOFF_X28Y190
CLEXL
CLEXL_X16Y95
SLICEL SLICE_X24Y95
SLICEX SLICE_X25Y95
INT
INT_X17Y95
TIEOFF TIEOFF_X29Y190
CLEXM
CLEXM_X17Y95
SLICEM SLICE_X26Y95
SLICEX SLICE_X27Y95
INT
INT_X18Y95
TIEOFF TIEOFF_X31Y190
CLEXL
CLEXL_X18Y95
SLICEL SLICE_X28Y95
SLICEX SLICE_X29Y95
NULL
NULL_X44Y104
REG_V_HCLKBUF_TOP
REG_V_HCLKBUF_TOP_X18Y95
INT
INT_X19Y95
TIEOFF TIEOFF_X34Y190
CLEXM
CLEXM_X19Y95
SLICEM SLICE_X30Y95
SLICEX SLICE_X31Y95
INT
INT_X20Y95
TIEOFF TIEOFF_X36Y190
CLEXL
CLEXL_X20Y95
SLICEL SLICE_X32Y95
SLICEX SLICE_X33Y95
INT
INT_X21Y95
TIEOFF TIEOFF_X38Y190
CLEXM
CLEXM_X21Y95
SLICEM SLICE_X34Y95
SLICEX SLICE_X35Y95
INT
INT_X22Y95
TIEOFF TIEOFF_X39Y190
CLEXL
CLEXL_X22Y95
SLICEL SLICE_X36Y95
SLICEX SLICE_X37Y95
INT
INT_X23Y95
TIEOFF TIEOFF_X41Y190
CLEXM
CLEXM_X23Y95
SLICEM SLICE_X38Y95
SLICEX SLICE_X39Y95
INT
INT_X24Y95
TIEOFF TIEOFF_X43Y190
CLEXL
CLEXL_X24Y95
SLICEL SLICE_X40Y95
SLICEX SLICE_X41Y95
INT_BRAM
INT_BRAM_X25Y95
TIEOFF TIEOFF_X45Y190
INT_INTERFACE
INT_INTERFACE_X25Y95
NULL
NULL_X60Y104
INT
INT_X26Y95
TIEOFF TIEOFF_X46Y190
CLEXL
CLEXL_X26Y95
SLICEL SLICE_X42Y95
SLICEX SLICE_X43Y95
INT
INT_X27Y95
TIEOFF TIEOFF_X48Y190
CLEXM
CLEXM_X27Y95
SLICEM SLICE_X44Y95
SLICEX SLICE_X45Y95
INT
INT_X28Y95
TIEOFF TIEOFF_X50Y190
CLEXL
CLEXL_X28Y95
SLICEL SLICE_X46Y95
SLICEX SLICE_X47Y95
INT
INT_X29Y95
TIEOFF TIEOFF_X51Y190
CLEXM
CLEXM_X29Y95
SLICEM SLICE_X48Y95
SLICEX SLICE_X49Y95
INT
INT_X30Y95
TIEOFF TIEOFF_X53Y190
CLEXL
CLEXL_X30Y95
SLICEL SLICE_X50Y95
SLICEX SLICE_X51Y95
INT
INT_X31Y95
TIEOFF TIEOFF_X55Y190
INT_INTERFACE
INT_INTERFACE_X31Y95
NULL
NULL_X73Y104
INT
INT_X32Y95
TIEOFF TIEOFF_X56Y190
CLEXM
CLEXM_X32Y95
SLICEM SLICE_X52Y95
SLICEX SLICE_X53Y95
INT
INT_X33Y95
TIEOFF TIEOFF_X58Y190
CLEXL
CLEXL_X33Y95
SLICEL SLICE_X54Y95
SLICEX SLICE_X55Y95
INT_BRAM
INT_BRAM_X34Y95
TIEOFF TIEOFF_X60Y190
INT_INTERFACE
INT_INTERFACE_X34Y95
NULL
NULL_X80Y104
INT
INT_X35Y95
TIEOFF TIEOFF_X61Y190
CLEXM
CLEXM_X35Y95
SLICEM SLICE_X56Y95
SLICEX SLICE_X57Y95
INT
INT_X36Y95
TIEOFF TIEOFF_X63Y190
CLEXL
CLEXL_X36Y95
SLICEL SLICE_X58Y95
SLICEX SLICE_X59Y95
IOI_INT
IOI_INT_X37Y95
TIEOFF TIEOFF_X65Y190
RIOI
RIOI_X37Y95
OLOGIC2 OLOGIC_X27Y86
IODELAY2 IODELAY_X27Y86
ILOGIC2 ILOGIC_X27Y86
OLOGIC2 OLOGIC_X27Y87
IODELAY2 IODELAY_X27Y87
ILOGIC2 ILOGIC_X27Y87
TIEOFF TIEOFF_X66Y190
MCB_CAP_INT
MCB_CAP_INT_X37Y95
IOI_RTERM
IOI_RTERM_X88Y104
RIOB
RIOB_X37Y95
IOBS D22
IOBM D21
EMP_LIOB
EMP_LIOB_X0Y103
IOI_LTERM
IOI_LTERM_X1Y103
INT
INT_X0Y94
TIEOFF TIEOFF_X0Y188
INT_INTERFACE
INT_INTERFACE_X0Y94
MCB_CAP_INT
MCB_CAP_INT_X0Y94
INT
INT_X1Y94
TIEOFF TIEOFF_X2Y188
CLEXL
CLEXL_X1Y94
SLICEL SLICE_X0Y94
SLICEX SLICE_X1Y94
INT
INT_X2Y94
TIEOFF TIEOFF_X4Y188
CLEXM
CLEXM_X2Y94
SLICEM SLICE_X2Y94
SLICEX SLICE_X3Y94
INT_BRAM
INT_BRAM_X3Y94
TIEOFF TIEOFF_X6Y188
INT_INTERFACE
INT_INTERFACE_X3Y94
NULL
NULL_X11Y103
INT
INT_X4Y94
TIEOFF TIEOFF_X7Y188
CLEXL
CLEXL_X4Y94
SLICEL SLICE_X4Y94
SLICEX SLICE_X5Y94
INT
INT_X5Y94
TIEOFF TIEOFF_X9Y188
CLEXM
CLEXM_X5Y94
SLICEM SLICE_X6Y94
SLICEX SLICE_X7Y94
INT
INT_X6Y94
TIEOFF TIEOFF_X11Y188
INT_INTERFACE
INT_INTERFACE_X6Y94
NULL
NULL_X18Y103
INT
INT_X7Y94
TIEOFF TIEOFF_X12Y188
CLEXL
CLEXL_X7Y94
SLICEL SLICE_X8Y94
SLICEX SLICE_X9Y94
INT
INT_X8Y94
TIEOFF TIEOFF_X14Y188
CLEXM
CLEXM_X8Y94
SLICEM SLICE_X10Y94
SLICEX SLICE_X11Y94
INT
INT_X9Y94
TIEOFF TIEOFF_X16Y188
CLEXL
CLEXL_X9Y94
SLICEL SLICE_X12Y94
SLICEX SLICE_X13Y94
INT
INT_X10Y94
TIEOFF TIEOFF_X17Y188
CLEXM
CLEXM_X10Y94
SLICEM SLICE_X14Y94
SLICEX SLICE_X15Y94
INT
INT_X11Y94
TIEOFF TIEOFF_X19Y188
CLEXL
CLEXL_X11Y94
SLICEL SLICE_X16Y94
SLICEX SLICE_X17Y94
INT_BRAM
INT_BRAM_X12Y94
TIEOFF TIEOFF_X21Y188
INT_INTERFACE
INT_INTERFACE_X12Y94
NULL
NULL_X31Y103
INT
INT_X13Y94
TIEOFF TIEOFF_X22Y188
CLEXM
CLEXM_X13Y94
SLICEM SLICE_X18Y94
SLICEX SLICE_X19Y94
INT
INT_X14Y94
TIEOFF TIEOFF_X24Y188
CLEXL
CLEXL_X14Y94
SLICEL SLICE_X20Y94
SLICEX SLICE_X21Y94
INT
INT_X15Y94
TIEOFF TIEOFF_X26Y188
CLEXM
CLEXM_X15Y94
SLICEM SLICE_X22Y94
SLICEX SLICE_X23Y94
INT
INT_X16Y94
TIEOFF TIEOFF_X28Y188
CLEXL
CLEXL_X16Y94
SLICEL SLICE_X24Y94
SLICEX SLICE_X25Y94
INT
INT_X17Y94
TIEOFF TIEOFF_X29Y188
CLEXM
CLEXM_X17Y94
SLICEM SLICE_X26Y94
SLICEX SLICE_X27Y94
INT
INT_X18Y94
TIEOFF TIEOFF_X31Y188
CLEXL
CLEXL_X18Y94
SLICEL SLICE_X28Y94
SLICEX SLICE_X29Y94
NULL
NULL_X44Y103
REG_V
REG_V_X18Y94
INT
INT_X19Y94
TIEOFF TIEOFF_X34Y188
CLEXM
CLEXM_X19Y94
SLICEM SLICE_X30Y94
SLICEX SLICE_X31Y94
INT
INT_X20Y94
TIEOFF TIEOFF_X36Y188
CLEXL
CLEXL_X20Y94
SLICEL SLICE_X32Y94
SLICEX SLICE_X33Y94
INT
INT_X21Y94
TIEOFF TIEOFF_X38Y188
CLEXM
CLEXM_X21Y94
SLICEM SLICE_X34Y94
SLICEX SLICE_X35Y94
INT
INT_X22Y94
TIEOFF TIEOFF_X39Y188
CLEXL
CLEXL_X22Y94
SLICEL SLICE_X36Y94
SLICEX SLICE_X37Y94
INT
INT_X23Y94
TIEOFF TIEOFF_X41Y188
CLEXM
CLEXM_X23Y94
SLICEM SLICE_X38Y94
SLICEX SLICE_X39Y94
INT
INT_X24Y94
TIEOFF TIEOFF_X43Y188
CLEXL
CLEXL_X24Y94
SLICEL SLICE_X40Y94
SLICEX SLICE_X41Y94
INT_BRAM
INT_BRAM_X25Y94
TIEOFF TIEOFF_X45Y188
INT_INTERFACE
INT_INTERFACE_X25Y94
NULL
NULL_X60Y103
INT
INT_X26Y94
TIEOFF TIEOFF_X46Y188
CLEXL
CLEXL_X26Y94
SLICEL SLICE_X42Y94
SLICEX SLICE_X43Y94
INT
INT_X27Y94
TIEOFF TIEOFF_X48Y188
CLEXM
CLEXM_X27Y94
SLICEM SLICE_X44Y94
SLICEX SLICE_X45Y94
INT
INT_X28Y94
TIEOFF TIEOFF_X50Y188
CLEXL
CLEXL_X28Y94
SLICEL SLICE_X46Y94
SLICEX SLICE_X47Y94
INT
INT_X29Y94
TIEOFF TIEOFF_X51Y188
CLEXM
CLEXM_X29Y94
SLICEM SLICE_X48Y94
SLICEX SLICE_X49Y94
INT
INT_X30Y94
TIEOFF TIEOFF_X53Y188
CLEXL
CLEXL_X30Y94
SLICEL SLICE_X50Y94
SLICEX SLICE_X51Y94
INT
INT_X31Y94
TIEOFF TIEOFF_X55Y188
INT_INTERFACE
INT_INTERFACE_X31Y94
NULL
NULL_X73Y103
INT
INT_X32Y94
TIEOFF TIEOFF_X56Y188
CLEXM
CLEXM_X32Y94
SLICEM SLICE_X52Y94
SLICEX SLICE_X53Y94
INT
INT_X33Y94
TIEOFF TIEOFF_X58Y188
CLEXL
CLEXL_X33Y94
SLICEL SLICE_X54Y94
SLICEX SLICE_X55Y94
INT_BRAM
INT_BRAM_X34Y94
TIEOFF TIEOFF_X60Y188
INT_INTERFACE
INT_INTERFACE_X34Y94
NULL
NULL_X80Y103
INT
INT_X35Y94
TIEOFF TIEOFF_X61Y188
CLEXM
CLEXM_X35Y94
SLICEM SLICE_X56Y94
SLICEX SLICE_X57Y94
INT
INT_X36Y94
TIEOFF TIEOFF_X63Y188
CLEXL
CLEXL_X36Y94
SLICEL SLICE_X58Y94
SLICEX SLICE_X59Y94
INT
INT_X37Y94
TIEOFF TIEOFF_X65Y188
INT_INTERFACE
INT_INTERFACE_X37Y94
MCB_CAP_INT
MCB_CAP_INT_X37Y94
IOI_RTERM
IOI_RTERM_X88Y103
EMP_RIOB
EMP_RIOB_X37Y94
EMP_LIOB
EMP_LIOB_X0Y102
IOI_LTERM
IOI_LTERM_X1Y102
INT
INT_X0Y93
TIEOFF TIEOFF_X0Y186
INT_INTERFACE
INT_INTERFACE_X0Y93
MCB_CAP_INT
MCB_CAP_INT_X0Y93
INT
INT_X1Y93
TIEOFF TIEOFF_X2Y186
CLEXL
CLEXL_X1Y93
SLICEL SLICE_X0Y93
SLICEX SLICE_X1Y93
INT
INT_X2Y93
TIEOFF TIEOFF_X4Y186
CLEXM
CLEXM_X2Y93
SLICEM SLICE_X2Y93
SLICEX SLICE_X3Y93
INT_BRAM
INT_BRAM_X3Y93
TIEOFF TIEOFF_X6Y186
INT_INTERFACE
INT_INTERFACE_X3Y93
NULL
NULL_X11Y102
INT
INT_X4Y93
TIEOFF TIEOFF_X7Y186
CLEXL
CLEXL_X4Y93
SLICEL SLICE_X4Y93
SLICEX SLICE_X5Y93
INT
INT_X5Y93
TIEOFF TIEOFF_X9Y186
CLEXM
CLEXM_X5Y93
SLICEM SLICE_X6Y93
SLICEX SLICE_X7Y93
INT
INT_X6Y93
TIEOFF TIEOFF_X11Y186
INT_INTERFACE
INT_INTERFACE_X6Y93
NULL
NULL_X18Y102
INT
INT_X7Y93
TIEOFF TIEOFF_X12Y186
CLEXL
CLEXL_X7Y93
SLICEL SLICE_X8Y93
SLICEX SLICE_X9Y93
INT
INT_X8Y93
TIEOFF TIEOFF_X14Y186
CLEXM
CLEXM_X8Y93
SLICEM SLICE_X10Y93
SLICEX SLICE_X11Y93
INT
INT_X9Y93
TIEOFF TIEOFF_X16Y186
CLEXL
CLEXL_X9Y93
SLICEL SLICE_X12Y93
SLICEX SLICE_X13Y93
INT
INT_X10Y93
TIEOFF TIEOFF_X17Y186
CLEXM
CLEXM_X10Y93
SLICEM SLICE_X14Y93
SLICEX SLICE_X15Y93
INT
INT_X11Y93
TIEOFF TIEOFF_X19Y186
CLEXL
CLEXL_X11Y93
SLICEL SLICE_X16Y93
SLICEX SLICE_X17Y93
INT_BRAM
INT_BRAM_X12Y93
TIEOFF TIEOFF_X21Y186
INT_INTERFACE
INT_INTERFACE_X12Y93
NULL
NULL_X31Y102
INT
INT_X13Y93
TIEOFF TIEOFF_X22Y186
CLEXM
CLEXM_X13Y93
SLICEM SLICE_X18Y93
SLICEX SLICE_X19Y93
INT
INT_X14Y93
TIEOFF TIEOFF_X24Y186
CLEXL
CLEXL_X14Y93
SLICEL SLICE_X20Y93
SLICEX SLICE_X21Y93
INT
INT_X15Y93
TIEOFF TIEOFF_X26Y186
CLEXM
CLEXM_X15Y93
SLICEM SLICE_X22Y93
SLICEX SLICE_X23Y93
INT
INT_X16Y93
TIEOFF TIEOFF_X28Y186
CLEXL
CLEXL_X16Y93
SLICEL SLICE_X24Y93
SLICEX SLICE_X25Y93
INT
INT_X17Y93
TIEOFF TIEOFF_X29Y186
CLEXM
CLEXM_X17Y93
SLICEM SLICE_X26Y93
SLICEX SLICE_X27Y93
INT
INT_X18Y93
TIEOFF TIEOFF_X31Y186
CLEXL
CLEXL_X18Y93
SLICEL SLICE_X28Y93
SLICEX SLICE_X29Y93
NULL
NULL_X44Y102
REG_V
REG_V_X18Y93
INT
INT_X19Y93
TIEOFF TIEOFF_X34Y186
CLEXM
CLEXM_X19Y93
SLICEM SLICE_X30Y93
SLICEX SLICE_X31Y93
INT
INT_X20Y93
TIEOFF TIEOFF_X36Y186
CLEXL
CLEXL_X20Y93
SLICEL SLICE_X32Y93
SLICEX SLICE_X33Y93
INT
INT_X21Y93
TIEOFF TIEOFF_X38Y186
CLEXM
CLEXM_X21Y93
SLICEM SLICE_X34Y93
SLICEX SLICE_X35Y93
INT
INT_X22Y93
TIEOFF TIEOFF_X39Y186
CLEXL
CLEXL_X22Y93
SLICEL SLICE_X36Y93
SLICEX SLICE_X37Y93
INT
INT_X23Y93
TIEOFF TIEOFF_X41Y186
CLEXM
CLEXM_X23Y93
SLICEM SLICE_X38Y93
SLICEX SLICE_X39Y93
INT
INT_X24Y93
TIEOFF TIEOFF_X43Y186
CLEXL
CLEXL_X24Y93
SLICEL SLICE_X40Y93
SLICEX SLICE_X41Y93
INT_BRAM
INT_BRAM_X25Y93
TIEOFF TIEOFF_X45Y186
INT_INTERFACE
INT_INTERFACE_X25Y93
NULL
NULL_X60Y102
INT
INT_X26Y93
TIEOFF TIEOFF_X46Y186
CLEXL
CLEXL_X26Y93
SLICEL SLICE_X42Y93
SLICEX SLICE_X43Y93
INT
INT_X27Y93
TIEOFF TIEOFF_X48Y186
CLEXM
CLEXM_X27Y93
SLICEM SLICE_X44Y93
SLICEX SLICE_X45Y93
INT
INT_X28Y93
TIEOFF TIEOFF_X50Y186
CLEXL
CLEXL_X28Y93
SLICEL SLICE_X46Y93
SLICEX SLICE_X47Y93
INT
INT_X29Y93
TIEOFF TIEOFF_X51Y186
CLEXM
CLEXM_X29Y93
SLICEM SLICE_X48Y93
SLICEX SLICE_X49Y93
INT
INT_X30Y93
TIEOFF TIEOFF_X53Y186
CLEXL
CLEXL_X30Y93
SLICEL SLICE_X50Y93
SLICEX SLICE_X51Y93
INT
INT_X31Y93
TIEOFF TIEOFF_X55Y186
INT_INTERFACE
INT_INTERFACE_X31Y93
NULL
NULL_X73Y102
INT
INT_X32Y93
TIEOFF TIEOFF_X56Y186
CLEXM
CLEXM_X32Y93
SLICEM SLICE_X52Y93
SLICEX SLICE_X53Y93
INT
INT_X33Y93
TIEOFF TIEOFF_X58Y186
CLEXL
CLEXL_X33Y93
SLICEL SLICE_X54Y93
SLICEX SLICE_X55Y93
INT_BRAM
INT_BRAM_X34Y93
TIEOFF TIEOFF_X60Y186
INT_INTERFACE
INT_INTERFACE_X34Y93
NULL
NULL_X80Y102
INT
INT_X35Y93
TIEOFF TIEOFF_X61Y186
CLEXM
CLEXM_X35Y93
SLICEM SLICE_X56Y93
SLICEX SLICE_X57Y93
INT
INT_X36Y93
TIEOFF TIEOFF_X63Y186
CLEXL
CLEXL_X36Y93
SLICEL SLICE_X58Y93
SLICEX SLICE_X59Y93
INT
INT_X37Y93
TIEOFF TIEOFF_X65Y186
INT_INTERFACE
INT_INTERFACE_X37Y93
MCB_CAP_INT
MCB_CAP_INT_X37Y93
IOI_RTERM
IOI_RTERM_X88Y102
EMP_RIOB
EMP_RIOB_X37Y93
LIOB
LIOB_X0Y92
IOBM J6
IOBS H5
IOI_LTERM
IOI_LTERM_X1Y101
LIOI_INT
LIOI_INT_X0Y92
TIEOFF TIEOFF_X0Y184
LIOI
LIOI_X0Y92
OLOGIC2 OLOGIC_X0Y84
IODELAY2 IODELAY_X0Y84
ILOGIC2 ILOGIC_X0Y84
OLOGIC2 OLOGIC_X0Y85
IODELAY2 IODELAY_X0Y85
ILOGIC2 ILOGIC_X0Y85
TIEOFF TIEOFF_X1Y184
MCB_INT
MCB_INT_X0Y92
INT
INT_X1Y92
TIEOFF TIEOFF_X2Y184
CLEXL
CLEXL_X1Y92
SLICEL SLICE_X0Y92
SLICEX SLICE_X1Y92
INT
INT_X2Y92
TIEOFF TIEOFF_X4Y184
CLEXM
CLEXM_X2Y92
SLICEM SLICE_X2Y92
SLICEX SLICE_X3Y92
INT_BRAM
INT_BRAM_X3Y92
TIEOFF TIEOFF_X6Y184
INT_INTERFACE
INT_INTERFACE_X3Y92
BRAMSITE2
BRAMSITE2_X3Y92
RAMB16BWER RAMB16_X0Y46
RAMB8BWER RAMB8_X0Y46
RAMB8BWER RAMB8_X0Y47
INT
INT_X4Y92
TIEOFF TIEOFF_X7Y184
CLEXL
CLEXL_X4Y92
SLICEL SLICE_X4Y92
SLICEX SLICE_X5Y92
INT
INT_X5Y92
TIEOFF TIEOFF_X9Y184
CLEXM
CLEXM_X5Y92
SLICEM SLICE_X6Y92
SLICEX SLICE_X7Y92
INT
INT_X6Y92
TIEOFF TIEOFF_X11Y184
INT_INTERFACE
INT_INTERFACE_X6Y92
MACCSITE2
MACCSITE2_X6Y92
DSP48A1 DSP48_X0Y23
INT
INT_X7Y92
TIEOFF TIEOFF_X12Y184
CLEXL
CLEXL_X7Y92
SLICEL SLICE_X8Y92
SLICEX SLICE_X9Y92
INT
INT_X8Y92
TIEOFF TIEOFF_X14Y184
CLEXM
CLEXM_X8Y92
SLICEM SLICE_X10Y92
SLICEX SLICE_X11Y92
INT
INT_X9Y92
TIEOFF TIEOFF_X16Y184
CLEXL
CLEXL_X9Y92
SLICEL SLICE_X12Y92
SLICEX SLICE_X13Y92
INT
INT_X10Y92
TIEOFF TIEOFF_X17Y184
CLEXM
CLEXM_X10Y92
SLICEM SLICE_X14Y92
SLICEX SLICE_X15Y92
INT
INT_X11Y92
TIEOFF TIEOFF_X19Y184
CLEXL
CLEXL_X11Y92
SLICEL SLICE_X16Y92
SLICEX SLICE_X17Y92
INT_BRAM
INT_BRAM_X12Y92
TIEOFF TIEOFF_X21Y184
INT_INTERFACE
INT_INTERFACE_X12Y92
BRAMSITE2
BRAMSITE2_X12Y92
RAMB16BWER RAMB16_X1Y46
RAMB8BWER RAMB8_X1Y46
RAMB8BWER RAMB8_X1Y47
INT
INT_X13Y92
TIEOFF TIEOFF_X22Y184
CLEXM
CLEXM_X13Y92
SLICEM SLICE_X18Y92
SLICEX SLICE_X19Y92
INT
INT_X14Y92
TIEOFF TIEOFF_X24Y184
CLEXL
CLEXL_X14Y92
SLICEL SLICE_X20Y92
SLICEX SLICE_X21Y92
INT
INT_X15Y92
TIEOFF TIEOFF_X26Y184
CLEXM
CLEXM_X15Y92
SLICEM SLICE_X22Y92
SLICEX SLICE_X23Y92
INT
INT_X16Y92
TIEOFF TIEOFF_X28Y184
CLEXL
CLEXL_X16Y92
SLICEL SLICE_X24Y92
SLICEX SLICE_X25Y92
INT
INT_X17Y92
TIEOFF TIEOFF_X29Y184
CLEXM
CLEXM_X17Y92
SLICEM SLICE_X26Y92
SLICEX SLICE_X27Y92
INT
INT_X18Y92
TIEOFF TIEOFF_X31Y184
CLEXL
CLEXL_X18Y92
SLICEL SLICE_X28Y92
SLICEX SLICE_X29Y92
NULL
NULL_X44Y101
REG_V
REG_V_X18Y92
INT
INT_X19Y92
TIEOFF TIEOFF_X34Y184
CLEXM
CLEXM_X19Y92
SLICEM SLICE_X30Y92
SLICEX SLICE_X31Y92
INT
INT_X20Y92
TIEOFF TIEOFF_X36Y184
CLEXL
CLEXL_X20Y92
SLICEL SLICE_X32Y92
SLICEX SLICE_X33Y92
INT
INT_X21Y92
TIEOFF TIEOFF_X38Y184
CLEXM
CLEXM_X21Y92
SLICEM SLICE_X34Y92
SLICEX SLICE_X35Y92
INT
INT_X22Y92
TIEOFF TIEOFF_X39Y184
CLEXL
CLEXL_X22Y92
SLICEL SLICE_X36Y92
SLICEX SLICE_X37Y92
INT
INT_X23Y92
TIEOFF TIEOFF_X41Y184
CLEXM
CLEXM_X23Y92
SLICEM SLICE_X38Y92
SLICEX SLICE_X39Y92
INT
INT_X24Y92
TIEOFF TIEOFF_X43Y184
CLEXL
CLEXL_X24Y92
SLICEL SLICE_X40Y92
SLICEX SLICE_X41Y92
INT_BRAM
INT_BRAM_X25Y92
TIEOFF TIEOFF_X45Y184
INT_INTERFACE
INT_INTERFACE_X25Y92
BRAMSITE2
BRAMSITE2_X25Y92
RAMB16BWER RAMB16_X2Y46
RAMB8BWER RAMB8_X2Y46
RAMB8BWER RAMB8_X2Y47
INT
INT_X26Y92
TIEOFF TIEOFF_X46Y184
CLEXL
CLEXL_X26Y92
SLICEL SLICE_X42Y92
SLICEX SLICE_X43Y92
INT
INT_X27Y92
TIEOFF TIEOFF_X48Y184
CLEXM
CLEXM_X27Y92
SLICEM SLICE_X44Y92
SLICEX SLICE_X45Y92
INT
INT_X28Y92
TIEOFF TIEOFF_X50Y184
CLEXL
CLEXL_X28Y92
SLICEL SLICE_X46Y92
SLICEX SLICE_X47Y92
INT
INT_X29Y92
TIEOFF TIEOFF_X51Y184
CLEXM
CLEXM_X29Y92
SLICEM SLICE_X48Y92
SLICEX SLICE_X49Y92
INT
INT_X30Y92
TIEOFF TIEOFF_X53Y184
CLEXL
CLEXL_X30Y92
SLICEL SLICE_X50Y92
SLICEX SLICE_X51Y92
INT
INT_X31Y92
TIEOFF TIEOFF_X55Y184
INT_INTERFACE
INT_INTERFACE_X31Y92
MACCSITE2
MACCSITE2_X31Y92
DSP48A1 DSP48_X1Y23
INT
INT_X32Y92
TIEOFF TIEOFF_X56Y184
CLEXM
CLEXM_X32Y92
SLICEM SLICE_X52Y92
SLICEX SLICE_X53Y92
INT
INT_X33Y92
TIEOFF TIEOFF_X58Y184
CLEXL
CLEXL_X33Y92
SLICEL SLICE_X54Y92
SLICEX SLICE_X55Y92
INT_BRAM
INT_BRAM_X34Y92
TIEOFF TIEOFF_X60Y184
INT_INTERFACE
INT_INTERFACE_X34Y92
BRAMSITE2
BRAMSITE2_X34Y92
RAMB16BWER RAMB16_X3Y46
RAMB8BWER RAMB8_X3Y46
RAMB8BWER RAMB8_X3Y47
INT
INT_X35Y92
TIEOFF TIEOFF_X61Y184
CLEXM
CLEXM_X35Y92
SLICEM SLICE_X56Y92
SLICEX SLICE_X57Y92
INT
INT_X36Y92
TIEOFF TIEOFF_X63Y184
CLEXL
CLEXL_X36Y92
SLICEL SLICE_X58Y92
SLICEX SLICE_X59Y92
IOI_INT
IOI_INT_X37Y92
TIEOFF TIEOFF_X65Y184
RIOI
RIOI_X37Y92
OLOGIC2 OLOGIC_X27Y84
IODELAY2 IODELAY_X27Y84
ILOGIC2 ILOGIC_X27Y84
OLOGIC2 OLOGIC_X27Y85
IODELAY2 IODELAY_X27Y85
ILOGIC2 ILOGIC_X27Y85
TIEOFF TIEOFF_X66Y184
MCB_INT
MCB_INT_X37Y92
IOI_RTERM
IOI_RTERM_X88Y101
RIOB
RIOB_X37Y92
IOBS F20
IOBM G19
EMP_LIOB
EMP_LIOB_X0Y100
IOI_LTERM
IOI_LTERM_X1Y100
INT
INT_X0Y91
TIEOFF TIEOFF_X0Y182
INT_INTERFACE
INT_INTERFACE_X0Y91
MCB_CAP_INT
MCB_CAP_INT_X0Y91
INT
INT_X1Y91
TIEOFF TIEOFF_X2Y182
CLEXL
CLEXL_X1Y91
SLICEL SLICE_X0Y91
SLICEX SLICE_X1Y91
INT
INT_X2Y91
TIEOFF TIEOFF_X4Y182
CLEXM
CLEXM_X2Y91
SLICEM SLICE_X2Y91
SLICEX SLICE_X3Y91
INT_BRAM
INT_BRAM_X3Y91
TIEOFF TIEOFF_X6Y182
INT_INTERFACE
INT_INTERFACE_X3Y91
NULL
NULL_X11Y100
INT
INT_X4Y91
TIEOFF TIEOFF_X7Y182
CLEXL
CLEXL_X4Y91
SLICEL SLICE_X4Y91
SLICEX SLICE_X5Y91
INT
INT_X5Y91
TIEOFF TIEOFF_X9Y182
CLEXM
CLEXM_X5Y91
SLICEM SLICE_X6Y91
SLICEX SLICE_X7Y91
INT
INT_X6Y91
TIEOFF TIEOFF_X11Y182
INT_INTERFACE
INT_INTERFACE_X6Y91
NULL
NULL_X18Y100
INT
INT_X7Y91
TIEOFF TIEOFF_X12Y182
CLEXL
CLEXL_X7Y91
SLICEL SLICE_X8Y91
SLICEX SLICE_X9Y91
INT
INT_X8Y91
TIEOFF TIEOFF_X14Y182
CLEXM
CLEXM_X8Y91
SLICEM SLICE_X10Y91
SLICEX SLICE_X11Y91
INT
INT_X9Y91
TIEOFF TIEOFF_X16Y182
CLEXL
CLEXL_X9Y91
SLICEL SLICE_X12Y91
SLICEX SLICE_X13Y91
INT
INT_X10Y91
TIEOFF TIEOFF_X17Y182
CLEXM
CLEXM_X10Y91
SLICEM SLICE_X14Y91
SLICEX SLICE_X15Y91
INT
INT_X11Y91
TIEOFF TIEOFF_X19Y182
CLEXL
CLEXL_X11Y91
SLICEL SLICE_X16Y91
SLICEX SLICE_X17Y91
INT_BRAM
INT_BRAM_X12Y91
TIEOFF TIEOFF_X21Y182
INT_INTERFACE
INT_INTERFACE_X12Y91
NULL
NULL_X31Y100
INT
INT_X13Y91
TIEOFF TIEOFF_X22Y182
CLEXM
CLEXM_X13Y91
SLICEM SLICE_X18Y91
SLICEX SLICE_X19Y91
INT
INT_X14Y91
TIEOFF TIEOFF_X24Y182
CLEXL
CLEXL_X14Y91
SLICEL SLICE_X20Y91
SLICEX SLICE_X21Y91
INT
INT_X15Y91
TIEOFF TIEOFF_X26Y182
CLEXM
CLEXM_X15Y91
SLICEM SLICE_X22Y91
SLICEX SLICE_X23Y91
INT
INT_X16Y91
TIEOFF TIEOFF_X28Y182
CLEXL
CLEXL_X16Y91
SLICEL SLICE_X24Y91
SLICEX SLICE_X25Y91
INT
INT_X17Y91
TIEOFF TIEOFF_X29Y182
CLEXM
CLEXM_X17Y91
SLICEM SLICE_X26Y91
SLICEX SLICE_X27Y91
INT
INT_X18Y91
TIEOFF TIEOFF_X31Y182
CLEXL
CLEXL_X18Y91
SLICEL SLICE_X28Y91
SLICEX SLICE_X29Y91
NULL
NULL_X44Y100
REG_V
REG_V_X18Y91
INT
INT_X19Y91
TIEOFF TIEOFF_X34Y182
CLEXM
CLEXM_X19Y91
SLICEM SLICE_X30Y91
SLICEX SLICE_X31Y91
INT
INT_X20Y91
TIEOFF TIEOFF_X36Y182
CLEXL
CLEXL_X20Y91
SLICEL SLICE_X32Y91
SLICEX SLICE_X33Y91
INT
INT_X21Y91
TIEOFF TIEOFF_X38Y182
CLEXM
CLEXM_X21Y91
SLICEM SLICE_X34Y91
SLICEX SLICE_X35Y91
INT
INT_X22Y91
TIEOFF TIEOFF_X39Y182
CLEXL
CLEXL_X22Y91
SLICEL SLICE_X36Y91
SLICEX SLICE_X37Y91
INT
INT_X23Y91
TIEOFF TIEOFF_X41Y182
CLEXM
CLEXM_X23Y91
SLICEM SLICE_X38Y91
SLICEX SLICE_X39Y91
INT
INT_X24Y91
TIEOFF TIEOFF_X43Y182
CLEXL
CLEXL_X24Y91
SLICEL SLICE_X40Y91
SLICEX SLICE_X41Y91
INT_BRAM
INT_BRAM_X25Y91
TIEOFF TIEOFF_X45Y182
INT_INTERFACE
INT_INTERFACE_X25Y91
NULL
NULL_X60Y100
INT
INT_X26Y91
TIEOFF TIEOFF_X46Y182
CLEXL
CLEXL_X26Y91
SLICEL SLICE_X42Y91
SLICEX SLICE_X43Y91
INT
INT_X27Y91
TIEOFF TIEOFF_X48Y182
CLEXM
CLEXM_X27Y91
SLICEM SLICE_X44Y91
SLICEX SLICE_X45Y91
INT
INT_X28Y91
TIEOFF TIEOFF_X50Y182
CLEXL
CLEXL_X28Y91
SLICEL SLICE_X46Y91
SLICEX SLICE_X47Y91
INT
INT_X29Y91
TIEOFF TIEOFF_X51Y182
CLEXM
CLEXM_X29Y91
SLICEM SLICE_X48Y91
SLICEX SLICE_X49Y91
INT
INT_X30Y91
TIEOFF TIEOFF_X53Y182
CLEXL
CLEXL_X30Y91
SLICEL SLICE_X50Y91
SLICEX SLICE_X51Y91
INT
INT_X31Y91
TIEOFF TIEOFF_X55Y182
INT_INTERFACE
INT_INTERFACE_X31Y91
NULL
NULL_X73Y100
INT
INT_X32Y91
TIEOFF TIEOFF_X56Y182
CLEXM
CLEXM_X32Y91
SLICEM SLICE_X52Y91
SLICEX SLICE_X53Y91
INT
INT_X33Y91
TIEOFF TIEOFF_X58Y182
CLEXL
CLEXL_X33Y91
SLICEL SLICE_X54Y91
SLICEX SLICE_X55Y91
INT_BRAM
INT_BRAM_X34Y91
TIEOFF TIEOFF_X60Y182
INT_INTERFACE
INT_INTERFACE_X34Y91
NULL
NULL_X80Y100
INT
INT_X35Y91
TIEOFF TIEOFF_X61Y182
CLEXM
CLEXM_X35Y91
SLICEM SLICE_X56Y91
SLICEX SLICE_X57Y91
INT
INT_X36Y91
TIEOFF TIEOFF_X63Y182
CLEXL
CLEXL_X36Y91
SLICEL SLICE_X58Y91
SLICEX SLICE_X59Y91
INT
INT_X37Y91
TIEOFF TIEOFF_X65Y182
INT_INTERFACE
INT_INTERFACE_X37Y91
MCB_CAP_INT
MCB_CAP_INT_X37Y91
IOI_RTERM
IOI_RTERM_X88Y100
EMP_RIOB
EMP_RIOB_X37Y91
LIOB
LIOB_X0Y90
IOBM E3
IOBS E1
IOI_LTERM
IOI_LTERM_X1Y99
LIOI_INT
LIOI_INT_X0Y90
TIEOFF TIEOFF_X0Y180
LIOI
LIOI_X0Y90
OLOGIC2 OLOGIC_X0Y82
IODELAY2 IODELAY_X0Y82
ILOGIC2 ILOGIC_X0Y82
OLOGIC2 OLOGIC_X0Y83
IODELAY2 IODELAY_X0Y83
ILOGIC2 ILOGIC_X0Y83
TIEOFF TIEOFF_X1Y180
MCB_INT
MCB_INT_X0Y90
INT
INT_X1Y90
TIEOFF TIEOFF_X2Y180
CLEXL
CLEXL_X1Y90
SLICEL SLICE_X0Y90
SLICEX SLICE_X1Y90
INT
INT_X2Y90
TIEOFF TIEOFF_X4Y180
CLEXM
CLEXM_X2Y90
SLICEM SLICE_X2Y90
SLICEX SLICE_X3Y90
INT_BRAM
INT_BRAM_X3Y90
TIEOFF TIEOFF_X6Y180
INT_INTERFACE
INT_INTERFACE_X3Y90
NULL
NULL_X11Y99
INT
INT_X4Y90
TIEOFF TIEOFF_X7Y180
CLEXL
CLEXL_X4Y90
SLICEL SLICE_X4Y90
SLICEX SLICE_X5Y90
INT
INT_X5Y90
TIEOFF TIEOFF_X9Y180
CLEXM
CLEXM_X5Y90
SLICEM SLICE_X6Y90
SLICEX SLICE_X7Y90
INT
INT_X6Y90
TIEOFF TIEOFF_X11Y180
INT_INTERFACE
INT_INTERFACE_X6Y90
NULL
NULL_X18Y99
INT
INT_X7Y90
TIEOFF TIEOFF_X12Y180
CLEXL
CLEXL_X7Y90
SLICEL SLICE_X8Y90
SLICEX SLICE_X9Y90
INT
INT_X8Y90
TIEOFF TIEOFF_X14Y180
CLEXM
CLEXM_X8Y90
SLICEM SLICE_X10Y90
SLICEX SLICE_X11Y90
INT
INT_X9Y90
TIEOFF TIEOFF_X16Y180
CLEXL
CLEXL_X9Y90
SLICEL SLICE_X12Y90
SLICEX SLICE_X13Y90
INT
INT_X10Y90
TIEOFF TIEOFF_X17Y180
CLEXM
CLEXM_X10Y90
SLICEM SLICE_X14Y90
SLICEX SLICE_X15Y90
INT
INT_X11Y90
TIEOFF TIEOFF_X19Y180
CLEXL
CLEXL_X11Y90
SLICEL SLICE_X16Y90
SLICEX SLICE_X17Y90
INT_BRAM
INT_BRAM_X12Y90
TIEOFF TIEOFF_X21Y180
INT_INTERFACE
INT_INTERFACE_X12Y90
NULL
NULL_X31Y99
INT
INT_X13Y90
TIEOFF TIEOFF_X22Y180
CLEXM
CLEXM_X13Y90
SLICEM SLICE_X18Y90
SLICEX SLICE_X19Y90
INT
INT_X14Y90
TIEOFF TIEOFF_X24Y180
CLEXL
CLEXL_X14Y90
SLICEL SLICE_X20Y90
SLICEX SLICE_X21Y90
INT
INT_X15Y90
TIEOFF TIEOFF_X26Y180
CLEXM
CLEXM_X15Y90
SLICEM SLICE_X22Y90
SLICEX SLICE_X23Y90
INT
INT_X16Y90
TIEOFF TIEOFF_X28Y180
CLEXL
CLEXL_X16Y90
SLICEL SLICE_X24Y90
SLICEX SLICE_X25Y90
INT
INT_X17Y90
TIEOFF TIEOFF_X29Y180
CLEXM
CLEXM_X17Y90
SLICEM SLICE_X26Y90
SLICEX SLICE_X27Y90
INT
INT_X18Y90
TIEOFF TIEOFF_X31Y180
CLEXL
CLEXL_X18Y90
SLICEL SLICE_X28Y90
SLICEX SLICE_X29Y90
NULL
NULL_X44Y99
REG_V
REG_V_X18Y90
INT
INT_X19Y90
TIEOFF TIEOFF_X34Y180
CLEXM
CLEXM_X19Y90
SLICEM SLICE_X30Y90
SLICEX SLICE_X31Y90
INT
INT_X20Y90
TIEOFF TIEOFF_X36Y180
CLEXL
CLEXL_X20Y90
SLICEL SLICE_X32Y90
SLICEX SLICE_X33Y90
INT
INT_X21Y90
TIEOFF TIEOFF_X38Y180
CLEXM
CLEXM_X21Y90
SLICEM SLICE_X34Y90
SLICEX SLICE_X35Y90
INT
INT_X22Y90
TIEOFF TIEOFF_X39Y180
CLEXL
CLEXL_X22Y90
SLICEL SLICE_X36Y90
SLICEX SLICE_X37Y90
INT
INT_X23Y90
TIEOFF TIEOFF_X41Y180
CLEXM
CLEXM_X23Y90
SLICEM SLICE_X38Y90
SLICEX SLICE_X39Y90
INT
INT_X24Y90
TIEOFF TIEOFF_X43Y180
CLEXL
CLEXL_X24Y90
SLICEL SLICE_X40Y90
SLICEX SLICE_X41Y90
INT_BRAM
INT_BRAM_X25Y90
TIEOFF TIEOFF_X45Y180
INT_INTERFACE
INT_INTERFACE_X25Y90
NULL
NULL_X60Y99
INT
INT_X26Y90
TIEOFF TIEOFF_X46Y180
CLEXL
CLEXL_X26Y90
SLICEL SLICE_X42Y90
SLICEX SLICE_X43Y90
INT
INT_X27Y90
TIEOFF TIEOFF_X48Y180
CLEXM
CLEXM_X27Y90
SLICEM SLICE_X44Y90
SLICEX SLICE_X45Y90
INT
INT_X28Y90
TIEOFF TIEOFF_X50Y180
CLEXL
CLEXL_X28Y90
SLICEL SLICE_X46Y90
SLICEX SLICE_X47Y90
INT
INT_X29Y90
TIEOFF TIEOFF_X51Y180
CLEXM
CLEXM_X29Y90
SLICEM SLICE_X48Y90
SLICEX SLICE_X49Y90
INT
INT_X30Y90
TIEOFF TIEOFF_X53Y180
CLEXL
CLEXL_X30Y90
SLICEL SLICE_X50Y90
SLICEX SLICE_X51Y90
INT
INT_X31Y90
TIEOFF TIEOFF_X55Y180
INT_INTERFACE
INT_INTERFACE_X31Y90
NULL
NULL_X73Y99
INT
INT_X32Y90
TIEOFF TIEOFF_X56Y180
CLEXM
CLEXM_X32Y90
SLICEM SLICE_X52Y90
SLICEX SLICE_X53Y90
INT
INT_X33Y90
TIEOFF TIEOFF_X58Y180
CLEXL
CLEXL_X33Y90
SLICEL SLICE_X54Y90
SLICEX SLICE_X55Y90
INT_BRAM
INT_BRAM_X34Y90
TIEOFF TIEOFF_X60Y180
INT_INTERFACE
INT_INTERFACE_X34Y90
NULL
NULL_X80Y99
INT
INT_X35Y90
TIEOFF TIEOFF_X61Y180
CLEXM
CLEXM_X35Y90
SLICEM SLICE_X56Y90
SLICEX SLICE_X57Y90
INT
INT_X36Y90
TIEOFF TIEOFF_X63Y180
CLEXL
CLEXL_X36Y90
SLICEL SLICE_X58Y90
SLICEX SLICE_X59Y90
IOI_INT
IOI_INT_X37Y90
TIEOFF TIEOFF_X65Y180
RIOI
RIOI_X37Y90
OLOGIC2 OLOGIC_X27Y82
IODELAY2 IODELAY_X27Y82
ILOGIC2 ILOGIC_X27Y82
OLOGIC2 OLOGIC_X27Y83
IODELAY2 IODELAY_X27Y83
ILOGIC2 ILOGIC_X27Y83
TIEOFF TIEOFF_X66Y180
MCB_INT
MCB_INT_X37Y90
IOI_RTERM
IOI_RTERM_X88Y99
RIOB
RIOB_X37Y90
IOBS H19
IOBM H18
EMP_LIOB
EMP_LIOB_X0Y98
IOI_LTERM
IOI_LTERM_X1Y98
INT
INT_X0Y89
TIEOFF TIEOFF_X0Y178
INT_INTERFACE
INT_INTERFACE_X0Y89
MCB_CAP_INT
MCB_CAP_INT_X0Y89
INT
INT_X1Y89
TIEOFF TIEOFF_X2Y178
CLEXL
CLEXL_X1Y89
SLICEL SLICE_X0Y89
SLICEX SLICE_X1Y89
INT
INT_X2Y89
TIEOFF TIEOFF_X4Y178
CLEXM
CLEXM_X2Y89
SLICEM SLICE_X2Y89
SLICEX SLICE_X3Y89
INT_BRAM
INT_BRAM_X3Y89
TIEOFF TIEOFF_X6Y178
INT_INTERFACE
INT_INTERFACE_X3Y89
NULL
NULL_X11Y98
INT
INT_X4Y89
TIEOFF TIEOFF_X7Y178
CLEXL
CLEXL_X4Y89
SLICEL SLICE_X4Y89
SLICEX SLICE_X5Y89
INT
INT_X5Y89
TIEOFF TIEOFF_X9Y178
CLEXM
CLEXM_X5Y89
SLICEM SLICE_X6Y89
SLICEX SLICE_X7Y89
INT
INT_X6Y89
TIEOFF TIEOFF_X11Y178
INT_INTERFACE
INT_INTERFACE_X6Y89
NULL
NULL_X18Y98
INT
INT_X7Y89
TIEOFF TIEOFF_X12Y178
CLEXL
CLEXL_X7Y89
SLICEL SLICE_X8Y89
SLICEX SLICE_X9Y89
INT
INT_X8Y89
TIEOFF TIEOFF_X14Y178
CLEXM
CLEXM_X8Y89
SLICEM SLICE_X10Y89
SLICEX SLICE_X11Y89
INT
INT_X9Y89
TIEOFF TIEOFF_X16Y178
CLEXL
CLEXL_X9Y89
SLICEL SLICE_X12Y89
SLICEX SLICE_X13Y89
INT
INT_X10Y89
TIEOFF TIEOFF_X17Y178
CLEXM
CLEXM_X10Y89
SLICEM SLICE_X14Y89
SLICEX SLICE_X15Y89
INT
INT_X11Y89
TIEOFF TIEOFF_X19Y178
CLEXL
CLEXL_X11Y89
SLICEL SLICE_X16Y89
SLICEX SLICE_X17Y89
INT_BRAM
INT_BRAM_X12Y89
TIEOFF TIEOFF_X21Y178
INT_INTERFACE
INT_INTERFACE_X12Y89
NULL
NULL_X31Y98
INT
INT_X13Y89
TIEOFF TIEOFF_X22Y178
CLEXM
CLEXM_X13Y89
SLICEM SLICE_X18Y89
SLICEX SLICE_X19Y89
INT
INT_X14Y89
TIEOFF TIEOFF_X24Y178
CLEXL
CLEXL_X14Y89
SLICEL SLICE_X20Y89
SLICEX SLICE_X21Y89
INT
INT_X15Y89
TIEOFF TIEOFF_X26Y178
CLEXM
CLEXM_X15Y89
SLICEM SLICE_X22Y89
SLICEX SLICE_X23Y89
INT
INT_X16Y89
TIEOFF TIEOFF_X28Y178
CLEXL
CLEXL_X16Y89
SLICEL SLICE_X24Y89
SLICEX SLICE_X25Y89
INT
INT_X17Y89
TIEOFF TIEOFF_X29Y178
CLEXM
CLEXM_X17Y89
SLICEM SLICE_X26Y89
SLICEX SLICE_X27Y89
INT
INT_X18Y89
TIEOFF TIEOFF_X31Y178
CLEXL
CLEXL_X18Y89
SLICEL SLICE_X28Y89
SLICEX SLICE_X29Y89
NULL
NULL_X44Y98
REG_V
REG_V_X18Y89
INT
INT_X19Y89
TIEOFF TIEOFF_X34Y178
CLEXM
CLEXM_X19Y89
SLICEM SLICE_X30Y89
SLICEX SLICE_X31Y89
INT
INT_X20Y89
TIEOFF TIEOFF_X36Y178
CLEXL
CLEXL_X20Y89
SLICEL SLICE_X32Y89
SLICEX SLICE_X33Y89
INT
INT_X21Y89
TIEOFF TIEOFF_X38Y178
CLEXM
CLEXM_X21Y89
SLICEM SLICE_X34Y89
SLICEX SLICE_X35Y89
INT
INT_X22Y89
TIEOFF TIEOFF_X39Y178
CLEXL
CLEXL_X22Y89
SLICEL SLICE_X36Y89
SLICEX SLICE_X37Y89
INT
INT_X23Y89
TIEOFF TIEOFF_X41Y178
CLEXM
CLEXM_X23Y89
SLICEM SLICE_X38Y89
SLICEX SLICE_X39Y89
INT
INT_X24Y89
TIEOFF TIEOFF_X43Y178
CLEXL
CLEXL_X24Y89
SLICEL SLICE_X40Y89
SLICEX SLICE_X41Y89
INT_BRAM
INT_BRAM_X25Y89
TIEOFF TIEOFF_X45Y178
INT_INTERFACE
INT_INTERFACE_X25Y89
NULL
NULL_X60Y98
INT
INT_X26Y89
TIEOFF TIEOFF_X46Y178
CLEXL
CLEXL_X26Y89
SLICEL SLICE_X42Y89
SLICEX SLICE_X43Y89
INT
INT_X27Y89
TIEOFF TIEOFF_X48Y178
CLEXM
CLEXM_X27Y89
SLICEM SLICE_X44Y89
SLICEX SLICE_X45Y89
INT
INT_X28Y89
TIEOFF TIEOFF_X50Y178
CLEXL
CLEXL_X28Y89
SLICEL SLICE_X46Y89
SLICEX SLICE_X47Y89
INT
INT_X29Y89
TIEOFF TIEOFF_X51Y178
CLEXM
CLEXM_X29Y89
SLICEM SLICE_X48Y89
SLICEX SLICE_X49Y89
INT
INT_X30Y89
TIEOFF TIEOFF_X53Y178
CLEXL
CLEXL_X30Y89
SLICEL SLICE_X50Y89
SLICEX SLICE_X51Y89
INT
INT_X31Y89
TIEOFF TIEOFF_X55Y178
INT_INTERFACE
INT_INTERFACE_X31Y89
NULL
NULL_X73Y98
INT
INT_X32Y89
TIEOFF TIEOFF_X56Y178
CLEXM
CLEXM_X32Y89
SLICEM SLICE_X52Y89
SLICEX SLICE_X53Y89
INT
INT_X33Y89
TIEOFF TIEOFF_X58Y178
CLEXL
CLEXL_X33Y89
SLICEL SLICE_X54Y89
SLICEX SLICE_X55Y89
INT_BRAM
INT_BRAM_X34Y89
TIEOFF TIEOFF_X60Y178
INT_INTERFACE
INT_INTERFACE_X34Y89
NULL
NULL_X80Y98
INT
INT_X35Y89
TIEOFF TIEOFF_X61Y178
CLEXM
CLEXM_X35Y89
SLICEM SLICE_X56Y89
SLICEX SLICE_X57Y89
INT
INT_X36Y89
TIEOFF TIEOFF_X63Y178
CLEXL
CLEXL_X36Y89
SLICEL SLICE_X58Y89
SLICEX SLICE_X59Y89
INT
INT_X37Y89
TIEOFF TIEOFF_X65Y178
INT_INTERFACE
INT_INTERFACE_X37Y89
MCB_CAP_INT
MCB_CAP_INT_X37Y89
IOI_RTERM
IOI_RTERM_X88Y98
EMP_RIOB
EMP_RIOB_X37Y89
LIOB
LIOB_X0Y88
IOBM F2
IOBS F1
IOI_LTERM
IOI_LTERM_X1Y97
LIOI_INT
LIOI_INT_X0Y88
TIEOFF TIEOFF_X0Y176
LIOI
LIOI_X0Y88
OLOGIC2 OLOGIC_X0Y80
IODELAY2 IODELAY_X0Y80
ILOGIC2 ILOGIC_X0Y80
OLOGIC2 OLOGIC_X0Y81
IODELAY2 IODELAY_X0Y81
ILOGIC2 ILOGIC_X0Y81
TIEOFF TIEOFF_X1Y176
MCB_INT
MCB_INT_X0Y88
INT
INT_X1Y88
TIEOFF TIEOFF_X2Y176
CLEXL
CLEXL_X1Y88
SLICEL SLICE_X0Y88
SLICEX SLICE_X1Y88
INT
INT_X2Y88
TIEOFF TIEOFF_X4Y176
CLEXM
CLEXM_X2Y88
SLICEM SLICE_X2Y88
SLICEX SLICE_X3Y88
INT_BRAM
INT_BRAM_X3Y88
TIEOFF TIEOFF_X6Y176
INT_INTERFACE
INT_INTERFACE_X3Y88
BRAMSITE2
BRAMSITE2_X3Y88
RAMB16BWER RAMB16_X0Y44
RAMB8BWER RAMB8_X0Y44
RAMB8BWER RAMB8_X0Y45
INT
INT_X4Y88
TIEOFF TIEOFF_X7Y176
CLEXL
CLEXL_X4Y88
SLICEL SLICE_X4Y88
SLICEX SLICE_X5Y88
INT
INT_X5Y88
TIEOFF TIEOFF_X9Y176
CLEXM
CLEXM_X5Y88
SLICEM SLICE_X6Y88
SLICEX SLICE_X7Y88
INT
INT_X6Y88
TIEOFF TIEOFF_X11Y176
INT_INTERFACE
INT_INTERFACE_X6Y88
MACCSITE2
MACCSITE2_X6Y88
DSP48A1 DSP48_X0Y22
INT
INT_X7Y88
TIEOFF TIEOFF_X12Y176
CLEXL
CLEXL_X7Y88
SLICEL SLICE_X8Y88
SLICEX SLICE_X9Y88
INT
INT_X8Y88
TIEOFF TIEOFF_X14Y176
CLEXM
CLEXM_X8Y88
SLICEM SLICE_X10Y88
SLICEX SLICE_X11Y88
INT
INT_X9Y88
TIEOFF TIEOFF_X16Y176
CLEXL
CLEXL_X9Y88
SLICEL SLICE_X12Y88
SLICEX SLICE_X13Y88
INT
INT_X10Y88
TIEOFF TIEOFF_X17Y176
CLEXM
CLEXM_X10Y88
SLICEM SLICE_X14Y88
SLICEX SLICE_X15Y88
INT
INT_X11Y88
TIEOFF TIEOFF_X19Y176
CLEXL
CLEXL_X11Y88
SLICEL SLICE_X16Y88
SLICEX SLICE_X17Y88
INT_BRAM
INT_BRAM_X12Y88
TIEOFF TIEOFF_X21Y176
INT_INTERFACE
INT_INTERFACE_X12Y88
BRAMSITE2
BRAMSITE2_X12Y88
RAMB16BWER RAMB16_X1Y44
RAMB8BWER RAMB8_X1Y44
RAMB8BWER RAMB8_X1Y45
INT
INT_X13Y88
TIEOFF TIEOFF_X22Y176
CLEXM
CLEXM_X13Y88
SLICEM SLICE_X18Y88
SLICEX SLICE_X19Y88
INT
INT_X14Y88
TIEOFF TIEOFF_X24Y176
CLEXL
CLEXL_X14Y88
SLICEL SLICE_X20Y88
SLICEX SLICE_X21Y88
INT
INT_X15Y88
TIEOFF TIEOFF_X26Y176
CLEXM
CLEXM_X15Y88
SLICEM SLICE_X22Y88
SLICEX SLICE_X23Y88
INT
INT_X16Y88
TIEOFF TIEOFF_X28Y176
CLEXL
CLEXL_X16Y88
SLICEL SLICE_X24Y88
SLICEX SLICE_X25Y88
INT
INT_X17Y88
TIEOFF TIEOFF_X29Y176
CLEXM
CLEXM_X17Y88
SLICEM SLICE_X26Y88
SLICEX SLICE_X27Y88
IOI_INT
IOI_INT_X18Y88
TIEOFF TIEOFF_X31Y176
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y88
CMT_PLL2_TOP
CMT_PLL2_TOP_X18Y88
TIEOFF TIEOFF_X33Y177
PLL_ADV PLL_ADV_X0Y2
REG_V
REG_V_X18Y88
INT
INT_X19Y88
TIEOFF TIEOFF_X34Y176
CLEXM
CLEXM_X19Y88
SLICEM SLICE_X30Y88
SLICEX SLICE_X31Y88
INT
INT_X20Y88
TIEOFF TIEOFF_X36Y176
CLEXL
CLEXL_X20Y88
SLICEL SLICE_X32Y88
SLICEX SLICE_X33Y88
INT
INT_X21Y88
TIEOFF TIEOFF_X38Y176
CLEXM
CLEXM_X21Y88
SLICEM SLICE_X34Y88
SLICEX SLICE_X35Y88
INT
INT_X22Y88
TIEOFF TIEOFF_X39Y176
CLEXL
CLEXL_X22Y88
SLICEL SLICE_X36Y88
SLICEX SLICE_X37Y88
INT
INT_X23Y88
TIEOFF TIEOFF_X41Y176
CLEXM
CLEXM_X23Y88
SLICEM SLICE_X38Y88
SLICEX SLICE_X39Y88
INT
INT_X24Y88
TIEOFF TIEOFF_X43Y176
CLEXL
CLEXL_X24Y88
SLICEL SLICE_X40Y88
SLICEX SLICE_X41Y88
INT_BRAM
INT_BRAM_X25Y88
TIEOFF TIEOFF_X45Y176
INT_INTERFACE
INT_INTERFACE_X25Y88
BRAMSITE2
BRAMSITE2_X25Y88
RAMB16BWER RAMB16_X2Y44
RAMB8BWER RAMB8_X2Y44
RAMB8BWER RAMB8_X2Y45
INT
INT_X26Y88
TIEOFF TIEOFF_X46Y176
CLEXL
CLEXL_X26Y88
SLICEL SLICE_X42Y88
SLICEX SLICE_X43Y88
INT
INT_X27Y88
TIEOFF TIEOFF_X48Y176
CLEXM
CLEXM_X27Y88
SLICEM SLICE_X44Y88
SLICEX SLICE_X45Y88
INT
INT_X28Y88
TIEOFF TIEOFF_X50Y176
CLEXL
CLEXL_X28Y88
SLICEL SLICE_X46Y88
SLICEX SLICE_X47Y88
INT
INT_X29Y88
TIEOFF TIEOFF_X51Y176
CLEXM
CLEXM_X29Y88
SLICEM SLICE_X48Y88
SLICEX SLICE_X49Y88
INT
INT_X30Y88
TIEOFF TIEOFF_X53Y176
CLEXL
CLEXL_X30Y88
SLICEL SLICE_X50Y88
SLICEX SLICE_X51Y88
INT
INT_X31Y88
TIEOFF TIEOFF_X55Y176
INT_INTERFACE
INT_INTERFACE_X31Y88
MACCSITE2
MACCSITE2_X31Y88
DSP48A1 DSP48_X1Y22
INT
INT_X32Y88
TIEOFF TIEOFF_X56Y176
CLEXM
CLEXM_X32Y88
SLICEM SLICE_X52Y88
SLICEX SLICE_X53Y88
INT
INT_X33Y88
TIEOFF TIEOFF_X58Y176
CLEXL
CLEXL_X33Y88
SLICEL SLICE_X54Y88
SLICEX SLICE_X55Y88
INT_BRAM
INT_BRAM_X34Y88
TIEOFF TIEOFF_X60Y176
INT_INTERFACE
INT_INTERFACE_X34Y88
BRAMSITE2
BRAMSITE2_X34Y88
RAMB16BWER RAMB16_X3Y44
RAMB8BWER RAMB8_X3Y44
RAMB8BWER RAMB8_X3Y45
INT
INT_X35Y88
TIEOFF TIEOFF_X61Y176
CLEXM
CLEXM_X35Y88
SLICEM SLICE_X56Y88
SLICEX SLICE_X57Y88
INT
INT_X36Y88
TIEOFF TIEOFF_X63Y176
CLEXL
CLEXL_X36Y88
SLICEL SLICE_X58Y88
SLICEX SLICE_X59Y88
IOI_INT
IOI_INT_X37Y88
TIEOFF TIEOFF_X65Y176
RIOI
RIOI_X37Y88
OLOGIC2 OLOGIC_X27Y80
IODELAY2 IODELAY_X27Y80
ILOGIC2 ILOGIC_X27Y80
OLOGIC2 OLOGIC_X27Y81
IODELAY2 IODELAY_X27Y81
ILOGIC2 ILOGIC_X27Y81
TIEOFF TIEOFF_X66Y176
MCB_INT
MCB_INT_X37Y88
IOI_RTERM
IOI_RTERM_X88Y97
RIOB
RIOB_X37Y88
IOBS F22
IOBM F21
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y96
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y96
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y87
HCLK_IOIL_TOP_DN
HCLK_IOIL_TOP_DN_X0Y87
MCB_HCLK
MCB_HCLK_X0Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y87
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y87
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y87
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y87
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y87
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y87
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y87
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y87
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y87
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y87
NULL
NULL_X44Y96
REG_V_HCLK
REG_V_HCLK_X44Y96
BUFH BUFH_X0Y191
BUFH BUFH_X0Y190
BUFH BUFH_X0Y189
BUFH BUFH_X0Y188
BUFH BUFH_X0Y187
BUFH BUFH_X0Y186
BUFH BUFH_X0Y185
BUFH BUFH_X0Y184
BUFH BUFH_X0Y183
BUFH BUFH_X0Y182
BUFH BUFH_X0Y181
BUFH BUFH_X0Y180
BUFH BUFH_X0Y179
BUFH BUFH_X0Y178
BUFH BUFH_X0Y177
BUFH BUFH_X0Y176
BUFH BUFH_X3Y175
BUFH BUFH_X3Y174
BUFH BUFH_X3Y173
BUFH BUFH_X3Y172
BUFH BUFH_X3Y171
BUFH BUFH_X3Y170
BUFH BUFH_X3Y169
BUFH BUFH_X3Y168
BUFH BUFH_X3Y167
BUFH BUFH_X3Y166
BUFH BUFH_X3Y165
BUFH BUFH_X3Y164
BUFH BUFH_X3Y163
BUFH BUFH_X3Y162
BUFH BUFH_X3Y161
BUFH BUFH_X3Y160
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y87
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y87
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y87
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y87
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y87
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y87
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y87
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y87
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y87
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y87
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y87
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y87
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y87
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y87
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y87
HCLK_IOIR_TOP_DN
HCLK_IOIR_TOP_DN_X37Y87
MCB_HCLK
MCB_HCLK_X37Y87
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y96
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y96
LIOB
LIOB_X0Y87
IOBM G3
IOBS G1
IOI_LTERM
IOI_LTERM_X1Y95
LIOI_INT
LIOI_INT_X0Y87
TIEOFF TIEOFF_X0Y174
LIOI
LIOI_X0Y87
OLOGIC2 OLOGIC_X0Y78
IODELAY2 IODELAY_X0Y78
ILOGIC2 ILOGIC_X0Y78
OLOGIC2 OLOGIC_X0Y79
IODELAY2 IODELAY_X0Y79
ILOGIC2 ILOGIC_X0Y79
TIEOFF TIEOFF_X1Y174
MCB_INT
MCB_INT_X0Y87
INT
INT_X1Y87
TIEOFF TIEOFF_X2Y174
CLEXL
CLEXL_X1Y87
SLICEL SLICE_X0Y87
SLICEX SLICE_X1Y87
INT
INT_X2Y87
TIEOFF TIEOFF_X4Y174
CLEXM
CLEXM_X2Y87
SLICEM SLICE_X2Y87
SLICEX SLICE_X3Y87
INT_BRAM
INT_BRAM_X3Y87
TIEOFF TIEOFF_X6Y174
INT_INTERFACE
INT_INTERFACE_X3Y87
NULL
NULL_X11Y95
INT
INT_X4Y87
TIEOFF TIEOFF_X7Y174
CLEXL
CLEXL_X4Y87
SLICEL SLICE_X4Y87
SLICEX SLICE_X5Y87
INT
INT_X5Y87
TIEOFF TIEOFF_X9Y174
CLEXM
CLEXM_X5Y87
SLICEM SLICE_X6Y87
SLICEX SLICE_X7Y87
INT
INT_X6Y87
TIEOFF TIEOFF_X11Y174
INT_INTERFACE
INT_INTERFACE_X6Y87
NULL
NULL_X18Y95
INT
INT_X7Y87
TIEOFF TIEOFF_X12Y174
CLEXL
CLEXL_X7Y87
SLICEL SLICE_X8Y87
SLICEX SLICE_X9Y87
INT
INT_X8Y87
TIEOFF TIEOFF_X14Y174
CLEXM
CLEXM_X8Y87
SLICEM SLICE_X10Y87
SLICEX SLICE_X11Y87
INT
INT_X9Y87
TIEOFF TIEOFF_X16Y174
CLEXL
CLEXL_X9Y87
SLICEL SLICE_X12Y87
SLICEX SLICE_X13Y87
INT
INT_X10Y87
TIEOFF TIEOFF_X17Y174
CLEXM
CLEXM_X10Y87
SLICEM SLICE_X14Y87
SLICEX SLICE_X15Y87
INT
INT_X11Y87
TIEOFF TIEOFF_X19Y174
CLEXL
CLEXL_X11Y87
SLICEL SLICE_X16Y87
SLICEX SLICE_X17Y87
INT_BRAM
INT_BRAM_X12Y87
TIEOFF TIEOFF_X21Y174
INT_INTERFACE
INT_INTERFACE_X12Y87
NULL
NULL_X31Y95
INT
INT_X13Y87
TIEOFF TIEOFF_X22Y174
CLEXM
CLEXM_X13Y87
SLICEM SLICE_X18Y87
SLICEX SLICE_X19Y87
INT
INT_X14Y87
TIEOFF TIEOFF_X24Y174
CLEXL
CLEXL_X14Y87
SLICEL SLICE_X20Y87
SLICEX SLICE_X21Y87
INT
INT_X15Y87
TIEOFF TIEOFF_X26Y174
CLEXM
CLEXM_X15Y87
SLICEM SLICE_X22Y87
SLICEX SLICE_X23Y87
INT
INT_X16Y87
TIEOFF TIEOFF_X28Y174
CLEXL
CLEXL_X16Y87
SLICEL SLICE_X24Y87
SLICEX SLICE_X25Y87
INT
INT_X17Y87
TIEOFF TIEOFF_X29Y174
CLEXM
CLEXM_X17Y87
SLICEM SLICE_X26Y87
SLICEX SLICE_X27Y87
INT
INT_X18Y87
TIEOFF TIEOFF_X31Y174
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X18Y87
NULL
NULL_X44Y95
REG_V_MEMB_TOP
REG_V_MEMB_TOP_X18Y87
INT
INT_X19Y87
TIEOFF TIEOFF_X34Y174
CLEXM
CLEXM_X19Y87
SLICEM SLICE_X30Y87
SLICEX SLICE_X31Y87
INT
INT_X20Y87
TIEOFF TIEOFF_X36Y174
CLEXL
CLEXL_X20Y87
SLICEL SLICE_X32Y87
SLICEX SLICE_X33Y87
INT
INT_X21Y87
TIEOFF TIEOFF_X38Y174
CLEXM
CLEXM_X21Y87
SLICEM SLICE_X34Y87
SLICEX SLICE_X35Y87
INT
INT_X22Y87
TIEOFF TIEOFF_X39Y174
CLEXL
CLEXL_X22Y87
SLICEL SLICE_X36Y87
SLICEX SLICE_X37Y87
INT
INT_X23Y87
TIEOFF TIEOFF_X41Y174
CLEXM
CLEXM_X23Y87
SLICEM SLICE_X38Y87
SLICEX SLICE_X39Y87
INT
INT_X24Y87
TIEOFF TIEOFF_X43Y174
CLEXL
CLEXL_X24Y87
SLICEL SLICE_X40Y87
SLICEX SLICE_X41Y87
INT_BRAM
INT_BRAM_X25Y87
TIEOFF TIEOFF_X45Y174
INT_INTERFACE
INT_INTERFACE_X25Y87
NULL
NULL_X60Y95
INT
INT_X26Y87
TIEOFF TIEOFF_X46Y174
CLEXL
CLEXL_X26Y87
SLICEL SLICE_X42Y87
SLICEX SLICE_X43Y87
INT
INT_X27Y87
TIEOFF TIEOFF_X48Y174
CLEXM
CLEXM_X27Y87
SLICEM SLICE_X44Y87
SLICEX SLICE_X45Y87
INT
INT_X28Y87
TIEOFF TIEOFF_X50Y174
CLEXL
CLEXL_X28Y87
SLICEL SLICE_X46Y87
SLICEX SLICE_X47Y87
INT
INT_X29Y87
TIEOFF TIEOFF_X51Y174
CLEXM
CLEXM_X29Y87
SLICEM SLICE_X48Y87
SLICEX SLICE_X49Y87
INT
INT_X30Y87
TIEOFF TIEOFF_X53Y174
CLEXL
CLEXL_X30Y87
SLICEL SLICE_X50Y87
SLICEX SLICE_X51Y87
INT
INT_X31Y87
TIEOFF TIEOFF_X55Y174
INT_INTERFACE
INT_INTERFACE_X31Y87
NULL
NULL_X73Y95
INT
INT_X32Y87
TIEOFF TIEOFF_X56Y174
CLEXM
CLEXM_X32Y87
SLICEM SLICE_X52Y87
SLICEX SLICE_X53Y87
INT
INT_X33Y87
TIEOFF TIEOFF_X58Y174
CLEXL
CLEXL_X33Y87
SLICEL SLICE_X54Y87
SLICEX SLICE_X55Y87
INT_BRAM
INT_BRAM_X34Y87
TIEOFF TIEOFF_X60Y174
INT_INTERFACE
INT_INTERFACE_X34Y87
NULL
NULL_X80Y95
INT
INT_X35Y87
TIEOFF TIEOFF_X61Y174
CLEXM
CLEXM_X35Y87
SLICEM SLICE_X56Y87
SLICEX SLICE_X57Y87
INT
INT_X36Y87
TIEOFF TIEOFF_X63Y174
CLEXL
CLEXL_X36Y87
SLICEL SLICE_X58Y87
SLICEX SLICE_X59Y87
IOI_INT
IOI_INT_X37Y87
TIEOFF TIEOFF_X65Y174
RIOI
RIOI_X37Y87
OLOGIC2 OLOGIC_X27Y78
IODELAY2 IODELAY_X27Y78
ILOGIC2 ILOGIC_X27Y78
OLOGIC2 OLOGIC_X27Y79
IODELAY2 IODELAY_X27Y79
ILOGIC2 ILOGIC_X27Y79
TIEOFF TIEOFF_X66Y174
MCB_INT
MCB_INT_X37Y87
IOI_RTERM
IOI_RTERM_X88Y95
RIOB
RIOB_X37Y87
IOBS E22
IOBM E20
LIOB
LIOB_X0Y86
IOBM J4
IOBS H3
IOI_LTERM
IOI_LTERM_X1Y94
LIOI_INT
LIOI_INT_X0Y86
TIEOFF TIEOFF_X0Y172
LIOI
LIOI_X0Y86
OLOGIC2 OLOGIC_X0Y76
IODELAY2 IODELAY_X0Y76
ILOGIC2 ILOGIC_X0Y76
OLOGIC2 OLOGIC_X0Y77
IODELAY2 IODELAY_X0Y77
ILOGIC2 ILOGIC_X0Y77
TIEOFF TIEOFF_X1Y172
MCB_INT
MCB_INT_X0Y86
INT
INT_X1Y86
TIEOFF TIEOFF_X2Y172
CLEXL
CLEXL_X1Y86
SLICEL SLICE_X0Y86
SLICEX SLICE_X1Y86
INT
INT_X2Y86
TIEOFF TIEOFF_X4Y172
CLEXM
CLEXM_X2Y86
SLICEM SLICE_X2Y86
SLICEX SLICE_X3Y86
INT_BRAM
INT_BRAM_X3Y86
TIEOFF TIEOFF_X6Y172
INT_INTERFACE
INT_INTERFACE_X3Y86
NULL
NULL_X11Y94
INT
INT_X4Y86
TIEOFF TIEOFF_X7Y172
CLEXL
CLEXL_X4Y86
SLICEL SLICE_X4Y86
SLICEX SLICE_X5Y86
INT
INT_X5Y86
TIEOFF TIEOFF_X9Y172
CLEXM
CLEXM_X5Y86
SLICEM SLICE_X6Y86
SLICEX SLICE_X7Y86
INT
INT_X6Y86
TIEOFF TIEOFF_X11Y172
INT_INTERFACE
INT_INTERFACE_X6Y86
NULL
NULL_X18Y94
INT
INT_X7Y86
TIEOFF TIEOFF_X12Y172
CLEXL
CLEXL_X7Y86
SLICEL SLICE_X8Y86
SLICEX SLICE_X9Y86
INT
INT_X8Y86
TIEOFF TIEOFF_X14Y172
CLEXM
CLEXM_X8Y86
SLICEM SLICE_X10Y86
SLICEX SLICE_X11Y86
INT
INT_X9Y86
TIEOFF TIEOFF_X16Y172
CLEXL
CLEXL_X9Y86
SLICEL SLICE_X12Y86
SLICEX SLICE_X13Y86
INT
INT_X10Y86
TIEOFF TIEOFF_X17Y172
CLEXM
CLEXM_X10Y86
SLICEM SLICE_X14Y86
SLICEX SLICE_X15Y86
INT
INT_X11Y86
TIEOFF TIEOFF_X19Y172
CLEXL
CLEXL_X11Y86
SLICEL SLICE_X16Y86
SLICEX SLICE_X17Y86
INT_BRAM
INT_BRAM_X12Y86
TIEOFF TIEOFF_X21Y172
INT_INTERFACE
INT_INTERFACE_X12Y86
NULL
NULL_X31Y94
INT
INT_X13Y86
TIEOFF TIEOFF_X22Y172
CLEXM
CLEXM_X13Y86
SLICEM SLICE_X18Y86
SLICEX SLICE_X19Y86
INT
INT_X14Y86
TIEOFF TIEOFF_X24Y172
CLEXL
CLEXL_X14Y86
SLICEL SLICE_X20Y86
SLICEX SLICE_X21Y86
INT
INT_X15Y86
TIEOFF TIEOFF_X26Y172
CLEXM
CLEXM_X15Y86
SLICEM SLICE_X22Y86
SLICEX SLICE_X23Y86
INT
INT_X16Y86
TIEOFF TIEOFF_X28Y172
CLEXL
CLEXL_X16Y86
SLICEL SLICE_X24Y86
SLICEX SLICE_X25Y86
INT
INT_X17Y86
TIEOFF TIEOFF_X29Y172
CLEXM
CLEXM_X17Y86
SLICEM SLICE_X26Y86
SLICEX SLICE_X27Y86
INT
INT_X18Y86
TIEOFF TIEOFF_X31Y172
CLEXL
CLEXL_X18Y86
SLICEL SLICE_X28Y86
SLICEX SLICE_X29Y86
NULL
NULL_X44Y94
REG_V
REG_V_X18Y86
INT
INT_X19Y86
TIEOFF TIEOFF_X34Y172
CLEXM
CLEXM_X19Y86
SLICEM SLICE_X30Y86
SLICEX SLICE_X31Y86
INT
INT_X20Y86
TIEOFF TIEOFF_X36Y172
CLEXL
CLEXL_X20Y86
SLICEL SLICE_X32Y86
SLICEX SLICE_X33Y86
INT
INT_X21Y86
TIEOFF TIEOFF_X38Y172
CLEXM
CLEXM_X21Y86
SLICEM SLICE_X34Y86
SLICEX SLICE_X35Y86
INT
INT_X22Y86
TIEOFF TIEOFF_X39Y172
CLEXL
CLEXL_X22Y86
SLICEL SLICE_X36Y86
SLICEX SLICE_X37Y86
INT
INT_X23Y86
TIEOFF TIEOFF_X41Y172
CLEXM
CLEXM_X23Y86
SLICEM SLICE_X38Y86
SLICEX SLICE_X39Y86
INT
INT_X24Y86
TIEOFF TIEOFF_X43Y172
CLEXL
CLEXL_X24Y86
SLICEL SLICE_X40Y86
SLICEX SLICE_X41Y86
INT_BRAM
INT_BRAM_X25Y86
TIEOFF TIEOFF_X45Y172
INT_INTERFACE
INT_INTERFACE_X25Y86
NULL
NULL_X60Y94
INT
INT_X26Y86
TIEOFF TIEOFF_X46Y172
CLEXL
CLEXL_X26Y86
SLICEL SLICE_X42Y86
SLICEX SLICE_X43Y86
INT
INT_X27Y86
TIEOFF TIEOFF_X48Y172
CLEXM
CLEXM_X27Y86
SLICEM SLICE_X44Y86
SLICEX SLICE_X45Y86
INT
INT_X28Y86
TIEOFF TIEOFF_X50Y172
CLEXL
CLEXL_X28Y86
SLICEL SLICE_X46Y86
SLICEX SLICE_X47Y86
INT
INT_X29Y86
TIEOFF TIEOFF_X51Y172
CLEXM
CLEXM_X29Y86
SLICEM SLICE_X48Y86
SLICEX SLICE_X49Y86
INT
INT_X30Y86
TIEOFF TIEOFF_X53Y172
CLEXL
CLEXL_X30Y86
SLICEL SLICE_X50Y86
SLICEX SLICE_X51Y86
INT
INT_X31Y86
TIEOFF TIEOFF_X55Y172
INT_INTERFACE
INT_INTERFACE_X31Y86
NULL
NULL_X73Y94
INT
INT_X32Y86
TIEOFF TIEOFF_X56Y172
CLEXM
CLEXM_X32Y86
SLICEM SLICE_X52Y86
SLICEX SLICE_X53Y86
INT
INT_X33Y86
TIEOFF TIEOFF_X58Y172
CLEXL
CLEXL_X33Y86
SLICEL SLICE_X54Y86
SLICEX SLICE_X55Y86
INT_BRAM
INT_BRAM_X34Y86
TIEOFF TIEOFF_X60Y172
INT_INTERFACE
INT_INTERFACE_X34Y86
NULL
NULL_X80Y94
INT
INT_X35Y86
TIEOFF TIEOFF_X61Y172
CLEXM
CLEXM_X35Y86
SLICEM SLICE_X56Y86
SLICEX SLICE_X57Y86
INT
INT_X36Y86
TIEOFF TIEOFF_X63Y172
CLEXL
CLEXL_X36Y86
SLICEL SLICE_X58Y86
SLICEX SLICE_X59Y86
IOI_INT
IOI_INT_X37Y86
TIEOFF TIEOFF_X65Y172
RIOI
RIOI_X37Y86
OLOGIC2 OLOGIC_X27Y76
IODELAY2 IODELAY_X27Y76
ILOGIC2 ILOGIC_X27Y76
OLOGIC2 OLOGIC_X27Y77
IODELAY2 IODELAY_X27Y77
ILOGIC2 ILOGIC_X27Y77
TIEOFF TIEOFF_X66Y172
MCB_INT
MCB_INT_X37Y86
IOI_RTERM
IOI_RTERM_X88Y94
RIOB
RIOB_X37Y86
IOBS H20
IOBM J19
LIOB
LIOB_X0Y85
IOBM H2
IOBS H1
IOI_LTERM
IOI_LTERM_X1Y93
LIOI_INT
LIOI_INT_X0Y85
TIEOFF TIEOFF_X0Y170
LIOI
LIOI_X0Y85
OLOGIC2 OLOGIC_X0Y74
IODELAY2 IODELAY_X0Y74
ILOGIC2 ILOGIC_X0Y74
OLOGIC2 OLOGIC_X0Y75
IODELAY2 IODELAY_X0Y75
ILOGIC2 ILOGIC_X0Y75
TIEOFF TIEOFF_X1Y170
MCB_INT
MCB_INT_X0Y85
INT
INT_X1Y85
TIEOFF TIEOFF_X2Y170
CLEXL
CLEXL_X1Y85
SLICEL SLICE_X0Y85
SLICEX SLICE_X1Y85
INT
INT_X2Y85
TIEOFF TIEOFF_X4Y170
CLEXM
CLEXM_X2Y85
SLICEM SLICE_X2Y85
SLICEX SLICE_X3Y85
INT_BRAM
INT_BRAM_X3Y85
TIEOFF TIEOFF_X6Y170
INT_INTERFACE
INT_INTERFACE_X3Y85
NULL
NULL_X11Y93
INT
INT_X4Y85
TIEOFF TIEOFF_X7Y170
CLEXL
CLEXL_X4Y85
SLICEL SLICE_X4Y85
SLICEX SLICE_X5Y85
INT
INT_X5Y85
TIEOFF TIEOFF_X9Y170
CLEXM
CLEXM_X5Y85
SLICEM SLICE_X6Y85
SLICEX SLICE_X7Y85
INT
INT_X6Y85
TIEOFF TIEOFF_X11Y170
INT_INTERFACE
INT_INTERFACE_X6Y85
NULL
NULL_X18Y93
INT
INT_X7Y85
TIEOFF TIEOFF_X12Y170
CLEXL
CLEXL_X7Y85
SLICEL SLICE_X8Y85
SLICEX SLICE_X9Y85
INT
INT_X8Y85
TIEOFF TIEOFF_X14Y170
CLEXM
CLEXM_X8Y85
SLICEM SLICE_X10Y85
SLICEX SLICE_X11Y85
INT
INT_X9Y85
TIEOFF TIEOFF_X16Y170
CLEXL
CLEXL_X9Y85
SLICEL SLICE_X12Y85
SLICEX SLICE_X13Y85
INT
INT_X10Y85
TIEOFF TIEOFF_X17Y170
CLEXM
CLEXM_X10Y85
SLICEM SLICE_X14Y85
SLICEX SLICE_X15Y85
INT
INT_X11Y85
TIEOFF TIEOFF_X19Y170
CLEXL
CLEXL_X11Y85
SLICEL SLICE_X16Y85
SLICEX SLICE_X17Y85
INT_BRAM
INT_BRAM_X12Y85
TIEOFF TIEOFF_X21Y170
INT_INTERFACE
INT_INTERFACE_X12Y85
NULL
NULL_X31Y93
INT
INT_X13Y85
TIEOFF TIEOFF_X22Y170
CLEXM
CLEXM_X13Y85
SLICEM SLICE_X18Y85
SLICEX SLICE_X19Y85
INT
INT_X14Y85
TIEOFF TIEOFF_X24Y170
CLEXL
CLEXL_X14Y85
SLICEL SLICE_X20Y85
SLICEX SLICE_X21Y85
INT
INT_X15Y85
TIEOFF TIEOFF_X26Y170
CLEXM
CLEXM_X15Y85
SLICEM SLICE_X22Y85
SLICEX SLICE_X23Y85
INT
INT_X16Y85
TIEOFF TIEOFF_X28Y170
CLEXL
CLEXL_X16Y85
SLICEL SLICE_X24Y85
SLICEX SLICE_X25Y85
INT
INT_X17Y85
TIEOFF TIEOFF_X29Y170
CLEXM
CLEXM_X17Y85
SLICEM SLICE_X26Y85
SLICEX SLICE_X27Y85
INT
INT_X18Y85
TIEOFF TIEOFF_X31Y170
CLEXL
CLEXL_X18Y85
SLICEL SLICE_X28Y85
SLICEX SLICE_X29Y85
NULL
NULL_X44Y93
REG_V
REG_V_X18Y85
INT
INT_X19Y85
TIEOFF TIEOFF_X34Y170
CLEXM
CLEXM_X19Y85
SLICEM SLICE_X30Y85
SLICEX SLICE_X31Y85
INT
INT_X20Y85
TIEOFF TIEOFF_X36Y170
CLEXL
CLEXL_X20Y85
SLICEL SLICE_X32Y85
SLICEX SLICE_X33Y85
INT
INT_X21Y85
TIEOFF TIEOFF_X38Y170
CLEXM
CLEXM_X21Y85
SLICEM SLICE_X34Y85
SLICEX SLICE_X35Y85
INT
INT_X22Y85
TIEOFF TIEOFF_X39Y170
CLEXL
CLEXL_X22Y85
SLICEL SLICE_X36Y85
SLICEX SLICE_X37Y85
INT
INT_X23Y85
TIEOFF TIEOFF_X41Y170
CLEXM
CLEXM_X23Y85
SLICEM SLICE_X38Y85
SLICEX SLICE_X39Y85
INT
INT_X24Y85
TIEOFF TIEOFF_X43Y170
CLEXL
CLEXL_X24Y85
SLICEL SLICE_X40Y85
SLICEX SLICE_X41Y85
INT_BRAM
INT_BRAM_X25Y85
TIEOFF TIEOFF_X45Y170
INT_INTERFACE
INT_INTERFACE_X25Y85
NULL
NULL_X60Y93
INT
INT_X26Y85
TIEOFF TIEOFF_X46Y170
CLEXL
CLEXL_X26Y85
SLICEL SLICE_X42Y85
SLICEX SLICE_X43Y85
INT
INT_X27Y85
TIEOFF TIEOFF_X48Y170
CLEXM
CLEXM_X27Y85
SLICEM SLICE_X44Y85
SLICEX SLICE_X45Y85
INT
INT_X28Y85
TIEOFF TIEOFF_X50Y170
CLEXL
CLEXL_X28Y85
SLICEL SLICE_X46Y85
SLICEX SLICE_X47Y85
INT
INT_X29Y85
TIEOFF TIEOFF_X51Y170
CLEXM
CLEXM_X29Y85
SLICEM SLICE_X48Y85
SLICEX SLICE_X49Y85
INT
INT_X30Y85
TIEOFF TIEOFF_X53Y170
CLEXL
CLEXL_X30Y85
SLICEL SLICE_X50Y85
SLICEX SLICE_X51Y85
INT
INT_X31Y85
TIEOFF TIEOFF_X55Y170
INT_INTERFACE
INT_INTERFACE_X31Y85
NULL
NULL_X73Y93
INT
INT_X32Y85
TIEOFF TIEOFF_X56Y170
CLEXM
CLEXM_X32Y85
SLICEM SLICE_X52Y85
SLICEX SLICE_X53Y85
INT
INT_X33Y85
TIEOFF TIEOFF_X58Y170
CLEXL
CLEXL_X33Y85
SLICEL SLICE_X54Y85
SLICEX SLICE_X55Y85
INT_BRAM
INT_BRAM_X34Y85
TIEOFF TIEOFF_X60Y170
INT_INTERFACE
INT_INTERFACE_X34Y85
NULL
NULL_X80Y93
INT
INT_X35Y85
TIEOFF TIEOFF_X61Y170
CLEXM
CLEXM_X35Y85
SLICEM SLICE_X56Y85
SLICEX SLICE_X57Y85
INT
INT_X36Y85
TIEOFF TIEOFF_X63Y170
CLEXL
CLEXL_X36Y85
SLICEL SLICE_X58Y85
SLICEX SLICE_X59Y85
IOI_INT
IOI_INT_X37Y85
TIEOFF TIEOFF_X65Y170
RIOI
RIOI_X37Y85
OLOGIC2 OLOGIC_X27Y74
IODELAY2 IODELAY_X27Y74
ILOGIC2 ILOGIC_X27Y74
OLOGIC2 OLOGIC_X27Y75
IODELAY2 IODELAY_X27Y75
ILOGIC2 ILOGIC_X27Y75
TIEOFF TIEOFF_X66Y170
MCB_INT
MCB_INT_X37Y85
IOI_RTERM
IOI_RTERM_X88Y93
RIOB
RIOB_X37Y85
IOBS K18
IOBM K19
LIOB
LIOB_X0Y84
IOBM K6
IOBS K5
IOI_LTERM
IOI_LTERM_X1Y92
LIOI_INT
LIOI_INT_X0Y84
TIEOFF TIEOFF_X0Y168
LIOI
LIOI_X0Y84
OLOGIC2 OLOGIC_X0Y72
IODELAY2 IODELAY_X0Y72
ILOGIC2 ILOGIC_X0Y72
OLOGIC2 OLOGIC_X0Y73
IODELAY2 IODELAY_X0Y73
ILOGIC2 ILOGIC_X0Y73
TIEOFF TIEOFF_X1Y168
MCB_INT
MCB_INT_X0Y84
INT
INT_X1Y84
TIEOFF TIEOFF_X2Y168
CLEXL
CLEXL_X1Y84
SLICEL SLICE_X0Y84
SLICEX SLICE_X1Y84
INT
INT_X2Y84
TIEOFF TIEOFF_X4Y168
CLEXM
CLEXM_X2Y84
SLICEM SLICE_X2Y84
SLICEX SLICE_X3Y84
INT_BRAM
INT_BRAM_X3Y84
TIEOFF TIEOFF_X6Y168
INT_INTERFACE
INT_INTERFACE_X3Y84
BRAMSITE2
BRAMSITE2_X3Y84
RAMB16BWER RAMB16_X0Y42
RAMB8BWER RAMB8_X0Y42
RAMB8BWER RAMB8_X0Y43
INT
INT_X4Y84
TIEOFF TIEOFF_X7Y168
CLEXL
CLEXL_X4Y84
SLICEL SLICE_X4Y84
SLICEX SLICE_X5Y84
INT
INT_X5Y84
TIEOFF TIEOFF_X9Y168
CLEXM
CLEXM_X5Y84
SLICEM SLICE_X6Y84
SLICEX SLICE_X7Y84
INT
INT_X6Y84
TIEOFF TIEOFF_X11Y168
INT_INTERFACE
INT_INTERFACE_X6Y84
MACCSITE2
MACCSITE2_X6Y84
DSP48A1 DSP48_X0Y21
INT
INT_X7Y84
TIEOFF TIEOFF_X12Y168
CLEXL
CLEXL_X7Y84
SLICEL SLICE_X8Y84
SLICEX SLICE_X9Y84
INT
INT_X8Y84
TIEOFF TIEOFF_X14Y168
CLEXM
CLEXM_X8Y84
SLICEM SLICE_X10Y84
SLICEX SLICE_X11Y84
INT
INT_X9Y84
TIEOFF TIEOFF_X16Y168
CLEXL
CLEXL_X9Y84
SLICEL SLICE_X12Y84
SLICEX SLICE_X13Y84
INT
INT_X10Y84
TIEOFF TIEOFF_X17Y168
CLEXM
CLEXM_X10Y84
SLICEM SLICE_X14Y84
SLICEX SLICE_X15Y84
INT
INT_X11Y84
TIEOFF TIEOFF_X19Y168
CLEXL
CLEXL_X11Y84
SLICEL SLICE_X16Y84
SLICEX SLICE_X17Y84
INT_BRAM
INT_BRAM_X12Y84
TIEOFF TIEOFF_X21Y168
INT_INTERFACE
INT_INTERFACE_X12Y84
BRAMSITE2
BRAMSITE2_X12Y84
RAMB16BWER RAMB16_X1Y42
RAMB8BWER RAMB8_X1Y42
RAMB8BWER RAMB8_X1Y43
INT
INT_X13Y84
TIEOFF TIEOFF_X22Y168
CLEXM
CLEXM_X13Y84
SLICEM SLICE_X18Y84
SLICEX SLICE_X19Y84
INT
INT_X14Y84
TIEOFF TIEOFF_X24Y168
CLEXL
CLEXL_X14Y84
SLICEL SLICE_X20Y84
SLICEX SLICE_X21Y84
INT
INT_X15Y84
TIEOFF TIEOFF_X26Y168
CLEXM
CLEXM_X15Y84
SLICEM SLICE_X22Y84
SLICEX SLICE_X23Y84
INT
INT_X16Y84
TIEOFF TIEOFF_X28Y168
CLEXL
CLEXL_X16Y84
SLICEL SLICE_X24Y84
SLICEX SLICE_X25Y84
INT
INT_X17Y84
TIEOFF TIEOFF_X29Y168
CLEXM
CLEXM_X17Y84
SLICEM SLICE_X26Y84
SLICEX SLICE_X27Y84
INT
INT_X18Y84
TIEOFF TIEOFF_X31Y168
CLEXL
CLEXL_X18Y84
SLICEL SLICE_X28Y84
SLICEX SLICE_X29Y84
NULL
NULL_X44Y92
REG_V
REG_V_X18Y84
INT
INT_X19Y84
TIEOFF TIEOFF_X34Y168
CLEXM
CLEXM_X19Y84
SLICEM SLICE_X30Y84
SLICEX SLICE_X31Y84
INT
INT_X20Y84
TIEOFF TIEOFF_X36Y168
CLEXL
CLEXL_X20Y84
SLICEL SLICE_X32Y84
SLICEX SLICE_X33Y84
INT
INT_X21Y84
TIEOFF TIEOFF_X38Y168
CLEXM
CLEXM_X21Y84
SLICEM SLICE_X34Y84
SLICEX SLICE_X35Y84
INT
INT_X22Y84
TIEOFF TIEOFF_X39Y168
CLEXL
CLEXL_X22Y84
SLICEL SLICE_X36Y84
SLICEX SLICE_X37Y84
INT
INT_X23Y84
TIEOFF TIEOFF_X41Y168
CLEXM
CLEXM_X23Y84
SLICEM SLICE_X38Y84
SLICEX SLICE_X39Y84
INT
INT_X24Y84
TIEOFF TIEOFF_X43Y168
CLEXL
CLEXL_X24Y84
SLICEL SLICE_X40Y84
SLICEX SLICE_X41Y84
INT_BRAM
INT_BRAM_X25Y84
TIEOFF TIEOFF_X45Y168
INT_INTERFACE
INT_INTERFACE_X25Y84
BRAMSITE2
BRAMSITE2_X25Y84
RAMB16BWER RAMB16_X2Y42
RAMB8BWER RAMB8_X2Y42
RAMB8BWER RAMB8_X2Y43
INT
INT_X26Y84
TIEOFF TIEOFF_X46Y168
CLEXL
CLEXL_X26Y84
SLICEL SLICE_X42Y84
SLICEX SLICE_X43Y84
INT
INT_X27Y84
TIEOFF TIEOFF_X48Y168
CLEXM
CLEXM_X27Y84
SLICEM SLICE_X44Y84
SLICEX SLICE_X45Y84
INT
INT_X28Y84
TIEOFF TIEOFF_X50Y168
CLEXL
CLEXL_X28Y84
SLICEL SLICE_X46Y84
SLICEX SLICE_X47Y84
INT
INT_X29Y84
TIEOFF TIEOFF_X51Y168
CLEXM
CLEXM_X29Y84
SLICEM SLICE_X48Y84
SLICEX SLICE_X49Y84
INT
INT_X30Y84
TIEOFF TIEOFF_X53Y168
CLEXL
CLEXL_X30Y84
SLICEL SLICE_X50Y84
SLICEX SLICE_X51Y84
INT
INT_X31Y84
TIEOFF TIEOFF_X55Y168
INT_INTERFACE
INT_INTERFACE_X31Y84
MACCSITE2
MACCSITE2_X31Y84
DSP48A1 DSP48_X1Y21
INT
INT_X32Y84
TIEOFF TIEOFF_X56Y168
CLEXM
CLEXM_X32Y84
SLICEM SLICE_X52Y84
SLICEX SLICE_X53Y84
INT
INT_X33Y84
TIEOFF TIEOFF_X58Y168
CLEXL
CLEXL_X33Y84
SLICEL SLICE_X54Y84
SLICEX SLICE_X55Y84
INT_BRAM
INT_BRAM_X34Y84
TIEOFF TIEOFF_X60Y168
INT_INTERFACE
INT_INTERFACE_X34Y84
BRAMSITE2
BRAMSITE2_X34Y84
RAMB16BWER RAMB16_X3Y42
RAMB8BWER RAMB8_X3Y42
RAMB8BWER RAMB8_X3Y43
INT
INT_X35Y84
TIEOFF TIEOFF_X61Y168
CLEXM
CLEXM_X35Y84
SLICEM SLICE_X56Y84
SLICEX SLICE_X57Y84
INT
INT_X36Y84
TIEOFF TIEOFF_X63Y168
CLEXL
CLEXL_X36Y84
SLICEL SLICE_X58Y84
SLICEX SLICE_X59Y84
IOI_INT
IOI_INT_X37Y84
TIEOFF TIEOFF_X65Y168
RIOI
RIOI_X37Y84
OLOGIC2 OLOGIC_X27Y72
IODELAY2 IODELAY_X27Y72
ILOGIC2 ILOGIC_X27Y72
OLOGIC2 OLOGIC_X27Y73
IODELAY2 IODELAY_X27Y73
ILOGIC2 ILOGIC_X27Y73
TIEOFF TIEOFF_X66Y168
MCB_INT
MCB_INT_X37Y84
IOI_RTERM
IOI_RTERM_X88Y92
RIOB
RIOB_X37Y84
IOBS G22
IOBM G20
EMP_LIOB
EMP_LIOB_X0Y91
IOI_LTERM
IOI_LTERM_X1Y91
INT
INT_X0Y83
TIEOFF TIEOFF_X0Y166
INT_INTERFACE
INT_INTERFACE_X0Y83
NULL
NULL_X4Y91
INT
INT_X1Y83
TIEOFF TIEOFF_X2Y166
CLEXL
CLEXL_X1Y83
SLICEL SLICE_X0Y83
SLICEX SLICE_X1Y83
INT
INT_X2Y83
TIEOFF TIEOFF_X4Y166
CLEXM
CLEXM_X2Y83
SLICEM SLICE_X2Y83
SLICEX SLICE_X3Y83
INT_BRAM
INT_BRAM_X3Y83
TIEOFF TIEOFF_X6Y166
INT_INTERFACE
INT_INTERFACE_X3Y83
NULL
NULL_X11Y91
INT
INT_X4Y83
TIEOFF TIEOFF_X7Y166
CLEXL
CLEXL_X4Y83
SLICEL SLICE_X4Y83
SLICEX SLICE_X5Y83
INT
INT_X5Y83
TIEOFF TIEOFF_X9Y166
CLEXM
CLEXM_X5Y83
SLICEM SLICE_X6Y83
SLICEX SLICE_X7Y83
INT
INT_X6Y83
TIEOFF TIEOFF_X11Y166
INT_INTERFACE
INT_INTERFACE_X6Y83
NULL
NULL_X18Y91
INT
INT_X7Y83
TIEOFF TIEOFF_X12Y166
CLEXL
CLEXL_X7Y83
SLICEL SLICE_X8Y83
SLICEX SLICE_X9Y83
INT
INT_X8Y83
TIEOFF TIEOFF_X14Y166
CLEXM
CLEXM_X8Y83
SLICEM SLICE_X10Y83
SLICEX SLICE_X11Y83
INT
INT_X9Y83
TIEOFF TIEOFF_X16Y166
CLEXL
CLEXL_X9Y83
SLICEL SLICE_X12Y83
SLICEX SLICE_X13Y83
INT
INT_X10Y83
TIEOFF TIEOFF_X17Y166
CLEXM
CLEXM_X10Y83
SLICEM SLICE_X14Y83
SLICEX SLICE_X15Y83
INT
INT_X11Y83
TIEOFF TIEOFF_X19Y166
CLEXL
CLEXL_X11Y83
SLICEL SLICE_X16Y83
SLICEX SLICE_X17Y83
INT_BRAM
INT_BRAM_X12Y83
TIEOFF TIEOFF_X21Y166
INT_INTERFACE
INT_INTERFACE_X12Y83
NULL
NULL_X31Y91
INT
INT_X13Y83
TIEOFF TIEOFF_X22Y166
CLEXM
CLEXM_X13Y83
SLICEM SLICE_X18Y83
SLICEX SLICE_X19Y83
INT
INT_X14Y83
TIEOFF TIEOFF_X24Y166
CLEXL
CLEXL_X14Y83
SLICEL SLICE_X20Y83
SLICEX SLICE_X21Y83
INT
INT_X15Y83
TIEOFF TIEOFF_X26Y166
CLEXM
CLEXM_X15Y83
SLICEM SLICE_X22Y83
SLICEX SLICE_X23Y83
INT
INT_X16Y83
TIEOFF TIEOFF_X28Y166
CLEXL
CLEXL_X16Y83
SLICEL SLICE_X24Y83
SLICEX SLICE_X25Y83
INT
INT_X17Y83
TIEOFF TIEOFF_X29Y166
CLEXM
CLEXM_X17Y83
SLICEM SLICE_X26Y83
SLICEX SLICE_X27Y83
INT
INT_X18Y83
TIEOFF TIEOFF_X31Y166
CLEXL
CLEXL_X18Y83
SLICEL SLICE_X28Y83
SLICEX SLICE_X29Y83
NULL
NULL_X44Y91
REG_V
REG_V_X18Y83
INT
INT_X19Y83
TIEOFF TIEOFF_X34Y166
CLEXM
CLEXM_X19Y83
SLICEM SLICE_X30Y83
SLICEX SLICE_X31Y83
INT
INT_X20Y83
TIEOFF TIEOFF_X36Y166
CLEXL
CLEXL_X20Y83
SLICEL SLICE_X32Y83
SLICEX SLICE_X33Y83
INT
INT_X21Y83
TIEOFF TIEOFF_X38Y166
CLEXM
CLEXM_X21Y83
SLICEM SLICE_X34Y83
SLICEX SLICE_X35Y83
INT
INT_X22Y83
TIEOFF TIEOFF_X39Y166
CLEXL
CLEXL_X22Y83
SLICEL SLICE_X36Y83
SLICEX SLICE_X37Y83
INT
INT_X23Y83
TIEOFF TIEOFF_X41Y166
CLEXM
CLEXM_X23Y83
SLICEM SLICE_X38Y83
SLICEX SLICE_X39Y83
INT
INT_X24Y83
TIEOFF TIEOFF_X43Y166
CLEXL
CLEXL_X24Y83
SLICEL SLICE_X40Y83
SLICEX SLICE_X41Y83
INT_BRAM
INT_BRAM_X25Y83
TIEOFF TIEOFF_X45Y166
INT_INTERFACE
INT_INTERFACE_X25Y83
NULL
NULL_X60Y91
INT
INT_X26Y83
TIEOFF TIEOFF_X46Y166
CLEXL
CLEXL_X26Y83
SLICEL SLICE_X42Y83
SLICEX SLICE_X43Y83
INT
INT_X27Y83
TIEOFF TIEOFF_X48Y166
CLEXM
CLEXM_X27Y83
SLICEM SLICE_X44Y83
SLICEX SLICE_X45Y83
INT
INT_X28Y83
TIEOFF TIEOFF_X50Y166
CLEXL
CLEXL_X28Y83
SLICEL SLICE_X46Y83
SLICEX SLICE_X47Y83
INT
INT_X29Y83
TIEOFF TIEOFF_X51Y166
CLEXM
CLEXM_X29Y83
SLICEM SLICE_X48Y83
SLICEX SLICE_X49Y83
INT
INT_X30Y83
TIEOFF TIEOFF_X53Y166
CLEXL
CLEXL_X30Y83
SLICEL SLICE_X50Y83
SLICEX SLICE_X51Y83
INT
INT_X31Y83
TIEOFF TIEOFF_X55Y166
INT_INTERFACE
INT_INTERFACE_X31Y83
NULL
NULL_X73Y91
INT
INT_X32Y83
TIEOFF TIEOFF_X56Y166
CLEXM
CLEXM_X32Y83
SLICEM SLICE_X52Y83
SLICEX SLICE_X53Y83
INT
INT_X33Y83
TIEOFF TIEOFF_X58Y166
CLEXL
CLEXL_X33Y83
SLICEL SLICE_X54Y83
SLICEX SLICE_X55Y83
INT_BRAM
INT_BRAM_X34Y83
TIEOFF TIEOFF_X60Y166
INT_INTERFACE
INT_INTERFACE_X34Y83
NULL
NULL_X80Y91
INT
INT_X35Y83
TIEOFF TIEOFF_X61Y166
CLEXM
CLEXM_X35Y83
SLICEM SLICE_X56Y83
SLICEX SLICE_X57Y83
INT
INT_X36Y83
TIEOFF TIEOFF_X63Y166
CLEXL
CLEXL_X36Y83
SLICEL SLICE_X58Y83
SLICEX SLICE_X59Y83
INT
INT_X37Y83
TIEOFF TIEOFF_X65Y166
INT_INTERFACE
INT_INTERFACE_X37Y83
NULL
NULL_X87Y91
IOI_RTERM
IOI_RTERM_X88Y91
EMP_RIOB
EMP_RIOB_X37Y83
EMP_LIOB
EMP_LIOB_X0Y90
IOI_LTERM
IOI_LTERM_X1Y90
INT
INT_X0Y82
TIEOFF TIEOFF_X0Y164
INT_INTERFACE
INT_INTERFACE_X0Y82
NULL
NULL_X4Y90
INT
INT_X1Y82
TIEOFF TIEOFF_X2Y164
CLEXL
CLEXL_X1Y82
SLICEL SLICE_X0Y82
SLICEX SLICE_X1Y82
INT
INT_X2Y82
TIEOFF TIEOFF_X4Y164
CLEXM
CLEXM_X2Y82
SLICEM SLICE_X2Y82
SLICEX SLICE_X3Y82
INT_BRAM
INT_BRAM_X3Y82
TIEOFF TIEOFF_X6Y164
INT_INTERFACE
INT_INTERFACE_X3Y82
NULL
NULL_X11Y90
INT
INT_X4Y82
TIEOFF TIEOFF_X7Y164
CLEXL
CLEXL_X4Y82
SLICEL SLICE_X4Y82
SLICEX SLICE_X5Y82
INT
INT_X5Y82
TIEOFF TIEOFF_X9Y164
CLEXM
CLEXM_X5Y82
SLICEM SLICE_X6Y82
SLICEX SLICE_X7Y82
INT
INT_X6Y82
TIEOFF TIEOFF_X11Y164
INT_INTERFACE
INT_INTERFACE_X6Y82
NULL
NULL_X18Y90
INT
INT_X7Y82
TIEOFF TIEOFF_X12Y164
CLEXL
CLEXL_X7Y82
SLICEL SLICE_X8Y82
SLICEX SLICE_X9Y82
INT
INT_X8Y82
TIEOFF TIEOFF_X14Y164
CLEXM
CLEXM_X8Y82
SLICEM SLICE_X10Y82
SLICEX SLICE_X11Y82
INT
INT_X9Y82
TIEOFF TIEOFF_X16Y164
CLEXL
CLEXL_X9Y82
SLICEL SLICE_X12Y82
SLICEX SLICE_X13Y82
INT
INT_X10Y82
TIEOFF TIEOFF_X17Y164
CLEXM
CLEXM_X10Y82
SLICEM SLICE_X14Y82
SLICEX SLICE_X15Y82
INT
INT_X11Y82
TIEOFF TIEOFF_X19Y164
CLEXL
CLEXL_X11Y82
SLICEL SLICE_X16Y82
SLICEX SLICE_X17Y82
INT_BRAM
INT_BRAM_X12Y82
TIEOFF TIEOFF_X21Y164
INT_INTERFACE
INT_INTERFACE_X12Y82
NULL
NULL_X31Y90
INT
INT_X13Y82
TIEOFF TIEOFF_X22Y164
CLEXM
CLEXM_X13Y82
SLICEM SLICE_X18Y82
SLICEX SLICE_X19Y82
INT
INT_X14Y82
TIEOFF TIEOFF_X24Y164
CLEXL
CLEXL_X14Y82
SLICEL SLICE_X20Y82
SLICEX SLICE_X21Y82
INT
INT_X15Y82
TIEOFF TIEOFF_X26Y164
CLEXM
CLEXM_X15Y82
SLICEM SLICE_X22Y82
SLICEX SLICE_X23Y82
INT
INT_X16Y82
TIEOFF TIEOFF_X28Y164
CLEXL
CLEXL_X16Y82
SLICEL SLICE_X24Y82
SLICEX SLICE_X25Y82
INT
INT_X17Y82
TIEOFF TIEOFF_X29Y164
CLEXM
CLEXM_X17Y82
SLICEM SLICE_X26Y82
SLICEX SLICE_X27Y82
INT
INT_X18Y82
TIEOFF TIEOFF_X31Y164
CLEXL
CLEXL_X18Y82
SLICEL SLICE_X28Y82
SLICEX SLICE_X29Y82
NULL
NULL_X44Y90
REG_V
REG_V_X18Y82
INT
INT_X19Y82
TIEOFF TIEOFF_X34Y164
CLEXM
CLEXM_X19Y82
SLICEM SLICE_X30Y82
SLICEX SLICE_X31Y82
INT
INT_X20Y82
TIEOFF TIEOFF_X36Y164
CLEXL
CLEXL_X20Y82
SLICEL SLICE_X32Y82
SLICEX SLICE_X33Y82
INT
INT_X21Y82
TIEOFF TIEOFF_X38Y164
CLEXM
CLEXM_X21Y82
SLICEM SLICE_X34Y82
SLICEX SLICE_X35Y82
INT
INT_X22Y82
TIEOFF TIEOFF_X39Y164
CLEXL
CLEXL_X22Y82
SLICEL SLICE_X36Y82
SLICEX SLICE_X37Y82
INT
INT_X23Y82
TIEOFF TIEOFF_X41Y164
CLEXM
CLEXM_X23Y82
SLICEM SLICE_X38Y82
SLICEX SLICE_X39Y82
INT
INT_X24Y82
TIEOFF TIEOFF_X43Y164
CLEXL
CLEXL_X24Y82
SLICEL SLICE_X40Y82
SLICEX SLICE_X41Y82
INT_BRAM
INT_BRAM_X25Y82
TIEOFF TIEOFF_X45Y164
INT_INTERFACE
INT_INTERFACE_X25Y82
NULL
NULL_X60Y90
INT
INT_X26Y82
TIEOFF TIEOFF_X46Y164
CLEXL
CLEXL_X26Y82
SLICEL SLICE_X42Y82
SLICEX SLICE_X43Y82
INT
INT_X27Y82
TIEOFF TIEOFF_X48Y164
CLEXM
CLEXM_X27Y82
SLICEM SLICE_X44Y82
SLICEX SLICE_X45Y82
INT
INT_X28Y82
TIEOFF TIEOFF_X50Y164
CLEXL
CLEXL_X28Y82
SLICEL SLICE_X46Y82
SLICEX SLICE_X47Y82
INT
INT_X29Y82
TIEOFF TIEOFF_X51Y164
CLEXM
CLEXM_X29Y82
SLICEM SLICE_X48Y82
SLICEX SLICE_X49Y82
INT
INT_X30Y82
TIEOFF TIEOFF_X53Y164
CLEXL
CLEXL_X30Y82
SLICEL SLICE_X50Y82
SLICEX SLICE_X51Y82
INT
INT_X31Y82
TIEOFF TIEOFF_X55Y164
INT_INTERFACE
INT_INTERFACE_X31Y82
NULL
NULL_X73Y90
INT
INT_X32Y82
TIEOFF TIEOFF_X56Y164
CLEXM
CLEXM_X32Y82
SLICEM SLICE_X52Y82
SLICEX SLICE_X53Y82
INT
INT_X33Y82
TIEOFF TIEOFF_X58Y164
CLEXL
CLEXL_X33Y82
SLICEL SLICE_X54Y82
SLICEX SLICE_X55Y82
INT_BRAM
INT_BRAM_X34Y82
TIEOFF TIEOFF_X60Y164
INT_INTERFACE
INT_INTERFACE_X34Y82
NULL
NULL_X80Y90
INT
INT_X35Y82
TIEOFF TIEOFF_X61Y164
CLEXM
CLEXM_X35Y82
SLICEM SLICE_X56Y82
SLICEX SLICE_X57Y82
INT
INT_X36Y82
TIEOFF TIEOFF_X63Y164
CLEXL
CLEXL_X36Y82
SLICEL SLICE_X58Y82
SLICEX SLICE_X59Y82
INT
INT_X37Y82
TIEOFF TIEOFF_X65Y164
INT_INTERFACE
INT_INTERFACE_X37Y82
NULL
NULL_X87Y90
IOI_RTERM
IOI_RTERM_X88Y90
EMP_RIOB
EMP_RIOB_X37Y82
EMP_LIOB
EMP_LIOB_X0Y89
IOI_LTERM
IOI_LTERM_X1Y89
INT
INT_X0Y81
TIEOFF TIEOFF_X0Y162
INT_INTERFACE
INT_INTERFACE_X0Y81
NULL
NULL_X4Y89
INT
INT_X1Y81
TIEOFF TIEOFF_X2Y162
CLEXL
CLEXL_X1Y81
SLICEL SLICE_X0Y81
SLICEX SLICE_X1Y81
INT
INT_X2Y81
TIEOFF TIEOFF_X4Y162
CLEXM
CLEXM_X2Y81
SLICEM SLICE_X2Y81
SLICEX SLICE_X3Y81
INT_BRAM
INT_BRAM_X3Y81
TIEOFF TIEOFF_X6Y162
INT_INTERFACE
INT_INTERFACE_X3Y81
NULL
NULL_X11Y89
INT
INT_X4Y81
TIEOFF TIEOFF_X7Y162
CLEXL
CLEXL_X4Y81
SLICEL SLICE_X4Y81
SLICEX SLICE_X5Y81
INT
INT_X5Y81
TIEOFF TIEOFF_X9Y162
CLEXM
CLEXM_X5Y81
SLICEM SLICE_X6Y81
SLICEX SLICE_X7Y81
INT
INT_X6Y81
TIEOFF TIEOFF_X11Y162
INT_INTERFACE
INT_INTERFACE_X6Y81
NULL
NULL_X18Y89
INT
INT_X7Y81
TIEOFF TIEOFF_X12Y162
CLEXL
CLEXL_X7Y81
SLICEL SLICE_X8Y81
SLICEX SLICE_X9Y81
INT
INT_X8Y81
TIEOFF TIEOFF_X14Y162
CLEXM
CLEXM_X8Y81
SLICEM SLICE_X10Y81
SLICEX SLICE_X11Y81
INT
INT_X9Y81
TIEOFF TIEOFF_X16Y162
CLEXL
CLEXL_X9Y81
SLICEL SLICE_X12Y81
SLICEX SLICE_X13Y81
INT
INT_X10Y81
TIEOFF TIEOFF_X17Y162
CLEXM
CLEXM_X10Y81
SLICEM SLICE_X14Y81
SLICEX SLICE_X15Y81
INT
INT_X11Y81
TIEOFF TIEOFF_X19Y162
CLEXL
CLEXL_X11Y81
SLICEL SLICE_X16Y81
SLICEX SLICE_X17Y81
INT_BRAM
INT_BRAM_X12Y81
TIEOFF TIEOFF_X21Y162
INT_INTERFACE
INT_INTERFACE_X12Y81
NULL
NULL_X31Y89
INT
INT_X13Y81
TIEOFF TIEOFF_X22Y162
CLEXM
CLEXM_X13Y81
SLICEM SLICE_X18Y81
SLICEX SLICE_X19Y81
INT
INT_X14Y81
TIEOFF TIEOFF_X24Y162
CLEXL
CLEXL_X14Y81
SLICEL SLICE_X20Y81
SLICEX SLICE_X21Y81
INT
INT_X15Y81
TIEOFF TIEOFF_X26Y162
CLEXM
CLEXM_X15Y81
SLICEM SLICE_X22Y81
SLICEX SLICE_X23Y81
INT
INT_X16Y81
TIEOFF TIEOFF_X28Y162
CLEXL
CLEXL_X16Y81
SLICEL SLICE_X24Y81
SLICEX SLICE_X25Y81
INT
INT_X17Y81
TIEOFF TIEOFF_X29Y162
CLEXM
CLEXM_X17Y81
SLICEM SLICE_X26Y81
SLICEX SLICE_X27Y81
INT
INT_X18Y81
TIEOFF TIEOFF_X31Y162
CLEXL
CLEXL_X18Y81
SLICEL SLICE_X28Y81
SLICEX SLICE_X29Y81
NULL
NULL_X44Y89
REG_V
REG_V_X18Y81
INT
INT_X19Y81
TIEOFF TIEOFF_X34Y162
CLEXM
CLEXM_X19Y81
SLICEM SLICE_X30Y81
SLICEX SLICE_X31Y81
INT
INT_X20Y81
TIEOFF TIEOFF_X36Y162
CLEXL
CLEXL_X20Y81
SLICEL SLICE_X32Y81
SLICEX SLICE_X33Y81
INT
INT_X21Y81
TIEOFF TIEOFF_X38Y162
CLEXM
CLEXM_X21Y81
SLICEM SLICE_X34Y81
SLICEX SLICE_X35Y81
INT
INT_X22Y81
TIEOFF TIEOFF_X39Y162
CLEXL
CLEXL_X22Y81
SLICEL SLICE_X36Y81
SLICEX SLICE_X37Y81
INT
INT_X23Y81
TIEOFF TIEOFF_X41Y162
CLEXM
CLEXM_X23Y81
SLICEM SLICE_X38Y81
SLICEX SLICE_X39Y81
INT
INT_X24Y81
TIEOFF TIEOFF_X43Y162
CLEXL
CLEXL_X24Y81
SLICEL SLICE_X40Y81
SLICEX SLICE_X41Y81
INT_BRAM
INT_BRAM_X25Y81
TIEOFF TIEOFF_X45Y162
INT_INTERFACE
INT_INTERFACE_X25Y81
NULL
NULL_X60Y89
INT
INT_X26Y81
TIEOFF TIEOFF_X46Y162
CLEXL
CLEXL_X26Y81
SLICEL SLICE_X42Y81
SLICEX SLICE_X43Y81
INT
INT_X27Y81
TIEOFF TIEOFF_X48Y162
CLEXM
CLEXM_X27Y81
SLICEM SLICE_X44Y81
SLICEX SLICE_X45Y81
INT
INT_X28Y81
TIEOFF TIEOFF_X50Y162
CLEXL
CLEXL_X28Y81
SLICEL SLICE_X46Y81
SLICEX SLICE_X47Y81
INT
INT_X29Y81
TIEOFF TIEOFF_X51Y162
CLEXM
CLEXM_X29Y81
SLICEM SLICE_X48Y81
SLICEX SLICE_X49Y81
INT
INT_X30Y81
TIEOFF TIEOFF_X53Y162
CLEXL
CLEXL_X30Y81
SLICEL SLICE_X50Y81
SLICEX SLICE_X51Y81
INT
INT_X31Y81
TIEOFF TIEOFF_X55Y162
INT_INTERFACE
INT_INTERFACE_X31Y81
NULL
NULL_X73Y89
INT
INT_X32Y81
TIEOFF TIEOFF_X56Y162
CLEXM
CLEXM_X32Y81
SLICEM SLICE_X52Y81
SLICEX SLICE_X53Y81
INT
INT_X33Y81
TIEOFF TIEOFF_X58Y162
CLEXL
CLEXL_X33Y81
SLICEL SLICE_X54Y81
SLICEX SLICE_X55Y81
INT_BRAM
INT_BRAM_X34Y81
TIEOFF TIEOFF_X60Y162
INT_INTERFACE
INT_INTERFACE_X34Y81
NULL
NULL_X80Y89
INT
INT_X35Y81
TIEOFF TIEOFF_X61Y162
CLEXM
CLEXM_X35Y81
SLICEM SLICE_X56Y81
SLICEX SLICE_X57Y81
INT
INT_X36Y81
TIEOFF TIEOFF_X63Y162
CLEXL
CLEXL_X36Y81
SLICEL SLICE_X58Y81
SLICEX SLICE_X59Y81
INT
INT_X37Y81
TIEOFF TIEOFF_X65Y162
INT_INTERFACE
INT_INTERFACE_X37Y81
NULL
NULL_X87Y89
IOI_RTERM
IOI_RTERM_X88Y89
EMP_RIOB
EMP_RIOB_X37Y81
EMP_LIOB
EMP_LIOB_X0Y88
IOI_LTERM
IOI_LTERM_X1Y88
INT_BRK
INT_X0Y80
TIEOFF TIEOFF_X0Y160
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X0Y80
NULL
NULL_X4Y88
INT_BRK
INT_X1Y80
TIEOFF TIEOFF_X2Y160
CLEXL
CLEXL_X1Y80
SLICEL SLICE_X0Y80
SLICEX SLICE_X1Y80
INT_BRK
INT_X2Y80
TIEOFF TIEOFF_X4Y160
CLEXM
CLEXM_X2Y80
SLICEM SLICE_X2Y80
SLICEX SLICE_X3Y80
INT_BRAM_BRK
INT_BRAM_BRK_X3Y80
TIEOFF TIEOFF_X6Y160
INT_INTERFACE
INT_INTERFACE_X3Y80
BRAMSITE2
BRAMSITE2_X3Y80
RAMB16BWER RAMB16_X0Y40
RAMB8BWER RAMB8_X0Y40
RAMB8BWER RAMB8_X0Y41
INT_BRK
INT_X4Y80
TIEOFF TIEOFF_X7Y160
CLEXL
CLEXL_X4Y80
SLICEL SLICE_X4Y80
SLICEX SLICE_X5Y80
INT_BRK
INT_X5Y80
TIEOFF TIEOFF_X9Y160
CLEXM
CLEXM_X5Y80
SLICEM SLICE_X6Y80
SLICEX SLICE_X7Y80
INT_BRK
INT_X6Y80
TIEOFF TIEOFF_X11Y160
INT_INTERFACE
INT_INTERFACE_X6Y80
MACCSITE2
MACCSITE2_X6Y80
DSP48A1 DSP48_X0Y20
INT_BRK
INT_X7Y80
TIEOFF TIEOFF_X12Y160
CLEXL
CLEXL_X7Y80
SLICEL SLICE_X8Y80
SLICEX SLICE_X9Y80
INT_BRK
INT_X8Y80
TIEOFF TIEOFF_X14Y160
CLEXM
CLEXM_X8Y80
SLICEM SLICE_X10Y80
SLICEX SLICE_X11Y80
INT_BRK
INT_X9Y80
TIEOFF TIEOFF_X16Y160
CLEXL
CLEXL_X9Y80
SLICEL SLICE_X12Y80
SLICEX SLICE_X13Y80
INT_BRK
INT_X10Y80
TIEOFF TIEOFF_X17Y160
CLEXM
CLEXM_X10Y80
SLICEM SLICE_X14Y80
SLICEX SLICE_X15Y80
INT_BRK
INT_X11Y80
TIEOFF TIEOFF_X19Y160
CLEXL
CLEXL_X11Y80
SLICEL SLICE_X16Y80
SLICEX SLICE_X17Y80
INT_BRAM_BRK
INT_BRAM_BRK_X12Y80
TIEOFF TIEOFF_X21Y160
INT_INTERFACE
INT_INTERFACE_X12Y80
BRAMSITE2
BRAMSITE2_X12Y80
RAMB16BWER RAMB16_X1Y40
RAMB8BWER RAMB8_X1Y40
RAMB8BWER RAMB8_X1Y41
INT_BRK
INT_X13Y80
TIEOFF TIEOFF_X22Y160
CLEXM
CLEXM_X13Y80
SLICEM SLICE_X18Y80
SLICEX SLICE_X19Y80
INT_BRK
INT_X14Y80
TIEOFF TIEOFF_X24Y160
CLEXL
CLEXL_X14Y80
SLICEL SLICE_X20Y80
SLICEX SLICE_X21Y80
INT_BRK
INT_X15Y80
TIEOFF TIEOFF_X26Y160
CLEXM
CLEXM_X15Y80
SLICEM SLICE_X22Y80
SLICEX SLICE_X23Y80
INT_BRK
INT_X16Y80
TIEOFF TIEOFF_X28Y160
CLEXL
CLEXL_X16Y80
SLICEL SLICE_X24Y80
SLICEX SLICE_X25Y80
INT_BRK
INT_X17Y80
TIEOFF TIEOFF_X29Y160
CLEXM
CLEXM_X17Y80
SLICEM SLICE_X26Y80
SLICEX SLICE_X27Y80
INT_BRK
INT_X18Y80
TIEOFF TIEOFF_X31Y160
CLEXL
CLEXL_X18Y80
SLICEL SLICE_X28Y80
SLICEX SLICE_X29Y80
NULL
NULL_X44Y88
REG_V_BRK
REG_V_BRK_X18Y80
INT_BRK
INT_X19Y80
TIEOFF TIEOFF_X34Y160
CLEXM
CLEXM_X19Y80
SLICEM SLICE_X30Y80
SLICEX SLICE_X31Y80
INT_BRK
INT_X20Y80
TIEOFF TIEOFF_X36Y160
CLEXL
CLEXL_X20Y80
SLICEL SLICE_X32Y80
SLICEX SLICE_X33Y80
INT_BRK
INT_X21Y80
TIEOFF TIEOFF_X38Y160
CLEXM
CLEXM_X21Y80
SLICEM SLICE_X34Y80
SLICEX SLICE_X35Y80
INT_BRK
INT_X22Y80
TIEOFF TIEOFF_X39Y160
CLEXL
CLEXL_X22Y80
SLICEL SLICE_X36Y80
SLICEX SLICE_X37Y80
INT_BRK
INT_X23Y80
TIEOFF TIEOFF_X41Y160
CLEXM
CLEXM_X23Y80
SLICEM SLICE_X38Y80
SLICEX SLICE_X39Y80
INT_BRK
INT_X24Y80
TIEOFF TIEOFF_X43Y160
CLEXL
CLEXL_X24Y80
SLICEL SLICE_X40Y80
SLICEX SLICE_X41Y80
INT_BRAM_BRK
INT_BRAM_BRK_X25Y80
TIEOFF TIEOFF_X45Y160
INT_INTERFACE
INT_INTERFACE_X25Y80
BRAMSITE2
BRAMSITE2_X25Y80
RAMB16BWER RAMB16_X2Y40
RAMB8BWER RAMB8_X2Y40
RAMB8BWER RAMB8_X2Y41
INT_BRK
INT_X26Y80
TIEOFF TIEOFF_X46Y160
CLEXL
CLEXL_X26Y80
SLICEL SLICE_X42Y80
SLICEX SLICE_X43Y80
INT_BRK
INT_X27Y80
TIEOFF TIEOFF_X48Y160
CLEXM
CLEXM_X27Y80
SLICEM SLICE_X44Y80
SLICEX SLICE_X45Y80
INT_BRK
INT_X28Y80
TIEOFF TIEOFF_X50Y160
CLEXL
CLEXL_X28Y80
SLICEL SLICE_X46Y80
SLICEX SLICE_X47Y80
INT_BRK
INT_X29Y80
TIEOFF TIEOFF_X51Y160
CLEXM
CLEXM_X29Y80
SLICEM SLICE_X48Y80
SLICEX SLICE_X49Y80
INT_BRK
INT_X30Y80
TIEOFF TIEOFF_X53Y160
CLEXL
CLEXL_X30Y80
SLICEL SLICE_X50Y80
SLICEX SLICE_X51Y80
INT_BRK
INT_X31Y80
TIEOFF TIEOFF_X55Y160
INT_INTERFACE
INT_INTERFACE_X31Y80
MACCSITE2
MACCSITE2_X31Y80
DSP48A1 DSP48_X1Y20
INT_BRK
INT_X32Y80
TIEOFF TIEOFF_X56Y160
CLEXM
CLEXM_X32Y80
SLICEM SLICE_X52Y80
SLICEX SLICE_X53Y80
INT_BRK
INT_X33Y80
TIEOFF TIEOFF_X58Y160
CLEXL
CLEXL_X33Y80
SLICEL SLICE_X54Y80
SLICEX SLICE_X55Y80
INT_BRAM_BRK
INT_BRAM_BRK_X34Y80
TIEOFF TIEOFF_X60Y160
INT_INTERFACE
INT_INTERFACE_X34Y80
BRAMSITE2
BRAMSITE2_X34Y80
RAMB16BWER RAMB16_X3Y40
RAMB8BWER RAMB8_X3Y40
RAMB8BWER RAMB8_X3Y41
INT_BRK
INT_X35Y80
TIEOFF TIEOFF_X61Y160
CLEXM
CLEXM_X35Y80
SLICEM SLICE_X56Y80
SLICEX SLICE_X57Y80
INT_BRK
INT_X36Y80
TIEOFF TIEOFF_X63Y160
CLEXL
CLEXL_X36Y80
SLICEL SLICE_X58Y80
SLICEX SLICE_X59Y80
INT_BRK
INT_X37Y80
TIEOFF TIEOFF_X65Y160
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X37Y80
NULL
NULL_X87Y88
IOI_RTERM
IOI_RTERM_X88Y88
EMP_RIOB
EMP_RIOB_X37Y80
EMP_LIOB
EMP_LIOB_X0Y87
IOI_LTERM
IOI_LTERM_X1Y87
INT
INT_X0Y79
TIEOFF TIEOFF_X0Y158
INT_INTERFACE
INT_INTERFACE_X0Y79
NULL
NULL_X4Y87
INT
INT_X1Y79
TIEOFF TIEOFF_X2Y158
CLEXL
CLEXL_X1Y79
SLICEL SLICE_X0Y79
SLICEX SLICE_X1Y79
INT
INT_X2Y79
TIEOFF TIEOFF_X4Y158
CLEXM
CLEXM_X2Y79
SLICEM SLICE_X2Y79
SLICEX SLICE_X3Y79
INT_BRAM
INT_BRAM_X3Y79
TIEOFF TIEOFF_X6Y158
INT_INTERFACE
INT_INTERFACE_X3Y79
NULL
NULL_X11Y87
INT
INT_X4Y79
TIEOFF TIEOFF_X7Y158
CLEXL
CLEXL_X4Y79
SLICEL SLICE_X4Y79
SLICEX SLICE_X5Y79
INT
INT_X5Y79
TIEOFF TIEOFF_X9Y158
CLEXM
CLEXM_X5Y79
SLICEM SLICE_X6Y79
SLICEX SLICE_X7Y79
INT
INT_X6Y79
TIEOFF TIEOFF_X11Y158
INT_INTERFACE
INT_INTERFACE_X6Y79
NULL
NULL_X18Y87
INT
INT_X7Y79
TIEOFF TIEOFF_X12Y158
CLEXL
CLEXL_X7Y79
SLICEL SLICE_X8Y79
SLICEX SLICE_X9Y79
INT
INT_X8Y79
TIEOFF TIEOFF_X14Y158
CLEXM
CLEXM_X8Y79
SLICEM SLICE_X10Y79
SLICEX SLICE_X11Y79
INT
INT_X9Y79
TIEOFF TIEOFF_X16Y158
CLEXL
CLEXL_X9Y79
SLICEL SLICE_X12Y79
SLICEX SLICE_X13Y79
INT
INT_X10Y79
TIEOFF TIEOFF_X17Y158
CLEXM
CLEXM_X10Y79
SLICEM SLICE_X14Y79
SLICEX SLICE_X15Y79
INT
INT_X11Y79
TIEOFF TIEOFF_X19Y158
CLEXL
CLEXL_X11Y79
SLICEL SLICE_X16Y79
SLICEX SLICE_X17Y79
INT_BRAM
INT_BRAM_X12Y79
TIEOFF TIEOFF_X21Y158
INT_INTERFACE
INT_INTERFACE_X12Y79
NULL
NULL_X31Y87
INT
INT_X13Y79
TIEOFF TIEOFF_X22Y158
CLEXM
CLEXM_X13Y79
SLICEM SLICE_X18Y79
SLICEX SLICE_X19Y79
INT
INT_X14Y79
TIEOFF TIEOFF_X24Y158
CLEXL
CLEXL_X14Y79
SLICEL SLICE_X20Y79
SLICEX SLICE_X21Y79
INT
INT_X15Y79
TIEOFF TIEOFF_X26Y158
CLEXM
CLEXM_X15Y79
SLICEM SLICE_X22Y79
SLICEX SLICE_X23Y79
INT
INT_X16Y79
TIEOFF TIEOFF_X28Y158
CLEXL
CLEXL_X16Y79
SLICEL SLICE_X24Y79
SLICEX SLICE_X25Y79
INT
INT_X17Y79
TIEOFF TIEOFF_X29Y158
CLEXM
CLEXM_X17Y79
SLICEM SLICE_X26Y79
SLICEX SLICE_X27Y79
INT
INT_X18Y79
TIEOFF TIEOFF_X31Y158
CLEXL
CLEXL_X18Y79
SLICEL SLICE_X28Y79
SLICEX SLICE_X29Y79
NULL
NULL_X44Y87
REG_V
REG_V_X18Y79
INT
INT_X19Y79
TIEOFF TIEOFF_X34Y158
CLEXM
CLEXM_X19Y79
SLICEM SLICE_X30Y79
SLICEX SLICE_X31Y79
INT
INT_X20Y79
TIEOFF TIEOFF_X36Y158
CLEXL
CLEXL_X20Y79
SLICEL SLICE_X32Y79
SLICEX SLICE_X33Y79
INT
INT_X21Y79
TIEOFF TIEOFF_X38Y158
CLEXM
CLEXM_X21Y79
SLICEM SLICE_X34Y79
SLICEX SLICE_X35Y79
INT
INT_X22Y79
TIEOFF TIEOFF_X39Y158
CLEXL
CLEXL_X22Y79
SLICEL SLICE_X36Y79
SLICEX SLICE_X37Y79
INT
INT_X23Y79
TIEOFF TIEOFF_X41Y158
CLEXM
CLEXM_X23Y79
SLICEM SLICE_X38Y79
SLICEX SLICE_X39Y79
INT
INT_X24Y79
TIEOFF TIEOFF_X43Y158
CLEXL
CLEXL_X24Y79
SLICEL SLICE_X40Y79
SLICEX SLICE_X41Y79
INT_BRAM
INT_BRAM_X25Y79
TIEOFF TIEOFF_X45Y158
INT_INTERFACE
INT_INTERFACE_X25Y79
NULL
NULL_X60Y87
INT
INT_X26Y79
TIEOFF TIEOFF_X46Y158
CLEXL
CLEXL_X26Y79
SLICEL SLICE_X42Y79
SLICEX SLICE_X43Y79
INT
INT_X27Y79
TIEOFF TIEOFF_X48Y158
CLEXM
CLEXM_X27Y79
SLICEM SLICE_X44Y79
SLICEX SLICE_X45Y79
INT
INT_X28Y79
TIEOFF TIEOFF_X50Y158
CLEXL
CLEXL_X28Y79
SLICEL SLICE_X46Y79
SLICEX SLICE_X47Y79
INT
INT_X29Y79
TIEOFF TIEOFF_X51Y158
CLEXM
CLEXM_X29Y79
SLICEM SLICE_X48Y79
SLICEX SLICE_X49Y79
INT
INT_X30Y79
TIEOFF TIEOFF_X53Y158
CLEXL
CLEXL_X30Y79
SLICEL SLICE_X50Y79
SLICEX SLICE_X51Y79
INT
INT_X31Y79
TIEOFF TIEOFF_X55Y158
INT_INTERFACE
INT_INTERFACE_X31Y79
NULL
NULL_X73Y87
INT
INT_X32Y79
TIEOFF TIEOFF_X56Y158
CLEXM
CLEXM_X32Y79
SLICEM SLICE_X52Y79
SLICEX SLICE_X53Y79
INT
INT_X33Y79
TIEOFF TIEOFF_X58Y158
CLEXL
CLEXL_X33Y79
SLICEL SLICE_X54Y79
SLICEX SLICE_X55Y79
INT_BRAM
INT_BRAM_X34Y79
TIEOFF TIEOFF_X60Y158
INT_INTERFACE
INT_INTERFACE_X34Y79
NULL
NULL_X80Y87
INT
INT_X35Y79
TIEOFF TIEOFF_X61Y158
CLEXM
CLEXM_X35Y79
SLICEM SLICE_X56Y79
SLICEX SLICE_X57Y79
INT
INT_X36Y79
TIEOFF TIEOFF_X63Y158
CLEXL
CLEXL_X36Y79
SLICEL SLICE_X58Y79
SLICEX SLICE_X59Y79
INT
INT_X37Y79
TIEOFF TIEOFF_X65Y158
INT_INTERFACE
INT_INTERFACE_X37Y79
NULL
NULL_X87Y87
IOI_RTERM
IOI_RTERM_X88Y87
EMP_RIOB
EMP_RIOB_X37Y79
EMP_LIOB
EMP_LIOB_X0Y86
IOI_LTERM
IOI_LTERM_X1Y86
INT
INT_X0Y78
TIEOFF TIEOFF_X0Y156
INT_INTERFACE
INT_INTERFACE_X0Y78
MCB_L
MCB_L_X0Y78
MCB MCB_X0Y1
INT
INT_X1Y78
TIEOFF TIEOFF_X2Y156
CLEXL
CLEXL_X1Y78
SLICEL SLICE_X0Y78
SLICEX SLICE_X1Y78
INT
INT_X2Y78
TIEOFF TIEOFF_X4Y156
CLEXM
CLEXM_X2Y78
SLICEM SLICE_X2Y78
SLICEX SLICE_X3Y78
INT_BRAM
INT_BRAM_X3Y78
TIEOFF TIEOFF_X6Y156
INT_INTERFACE
INT_INTERFACE_X3Y78
NULL
NULL_X11Y86
INT
INT_X4Y78
TIEOFF TIEOFF_X7Y156
CLEXL
CLEXL_X4Y78
SLICEL SLICE_X4Y78
SLICEX SLICE_X5Y78
INT
INT_X5Y78
TIEOFF TIEOFF_X9Y156
CLEXM
CLEXM_X5Y78
SLICEM SLICE_X6Y78
SLICEX SLICE_X7Y78
INT
INT_X6Y78
TIEOFF TIEOFF_X11Y156
INT_INTERFACE
INT_INTERFACE_X6Y78
NULL
NULL_X18Y86
INT
INT_X7Y78
TIEOFF TIEOFF_X12Y156
CLEXL
CLEXL_X7Y78
SLICEL SLICE_X8Y78
SLICEX SLICE_X9Y78
INT
INT_X8Y78
TIEOFF TIEOFF_X14Y156
CLEXM
CLEXM_X8Y78
SLICEM SLICE_X10Y78
SLICEX SLICE_X11Y78
INT
INT_X9Y78
TIEOFF TIEOFF_X16Y156
CLEXL
CLEXL_X9Y78
SLICEL SLICE_X12Y78
SLICEX SLICE_X13Y78
INT
INT_X10Y78
TIEOFF TIEOFF_X17Y156
CLEXM
CLEXM_X10Y78
SLICEM SLICE_X14Y78
SLICEX SLICE_X15Y78
INT
INT_X11Y78
TIEOFF TIEOFF_X19Y156
CLEXL
CLEXL_X11Y78
SLICEL SLICE_X16Y78
SLICEX SLICE_X17Y78
INT_BRAM
INT_BRAM_X12Y78
TIEOFF TIEOFF_X21Y156
INT_INTERFACE
INT_INTERFACE_X12Y78
NULL
NULL_X31Y86
INT
INT_X13Y78
TIEOFF TIEOFF_X22Y156
CLEXM
CLEXM_X13Y78
SLICEM SLICE_X18Y78
SLICEX SLICE_X19Y78
INT
INT_X14Y78
TIEOFF TIEOFF_X24Y156
CLEXL
CLEXL_X14Y78
SLICEL SLICE_X20Y78
SLICEX SLICE_X21Y78
INT
INT_X15Y78
TIEOFF TIEOFF_X26Y156
CLEXM
CLEXM_X15Y78
SLICEM SLICE_X22Y78
SLICEX SLICE_X23Y78
INT
INT_X16Y78
TIEOFF TIEOFF_X28Y156
CLEXL
CLEXL_X16Y78
SLICEL SLICE_X24Y78
SLICEX SLICE_X25Y78
INT
INT_X17Y78
TIEOFF TIEOFF_X29Y156
CLEXM
CLEXM_X17Y78
SLICEM SLICE_X26Y78
SLICEX SLICE_X27Y78
INT
INT_X18Y78
TIEOFF TIEOFF_X31Y156
CLEXL
CLEXL_X18Y78
SLICEL SLICE_X28Y78
SLICEX SLICE_X29Y78
NULL
NULL_X44Y86
REG_V
REG_V_X18Y78
INT
INT_X19Y78
TIEOFF TIEOFF_X34Y156
CLEXM
CLEXM_X19Y78
SLICEM SLICE_X30Y78
SLICEX SLICE_X31Y78
INT
INT_X20Y78
TIEOFF TIEOFF_X36Y156
CLEXL
CLEXL_X20Y78
SLICEL SLICE_X32Y78
SLICEX SLICE_X33Y78
INT
INT_X21Y78
TIEOFF TIEOFF_X38Y156
CLEXM
CLEXM_X21Y78
SLICEM SLICE_X34Y78
SLICEX SLICE_X35Y78
INT
INT_X22Y78
TIEOFF TIEOFF_X39Y156
CLEXL
CLEXL_X22Y78
SLICEL SLICE_X36Y78
SLICEX SLICE_X37Y78
INT
INT_X23Y78
TIEOFF TIEOFF_X41Y156
CLEXM
CLEXM_X23Y78
SLICEM SLICE_X38Y78
SLICEX SLICE_X39Y78
INT
INT_X24Y78
TIEOFF TIEOFF_X43Y156
CLEXL
CLEXL_X24Y78
SLICEL SLICE_X40Y78
SLICEX SLICE_X41Y78
INT_BRAM
INT_BRAM_X25Y78
TIEOFF TIEOFF_X45Y156
INT_INTERFACE
INT_INTERFACE_X25Y78
NULL
NULL_X60Y86
INT
INT_X26Y78
TIEOFF TIEOFF_X46Y156
CLEXL
CLEXL_X26Y78
SLICEL SLICE_X42Y78
SLICEX SLICE_X43Y78
INT
INT_X27Y78
TIEOFF TIEOFF_X48Y156
CLEXM
CLEXM_X27Y78
SLICEM SLICE_X44Y78
SLICEX SLICE_X45Y78
INT
INT_X28Y78
TIEOFF TIEOFF_X50Y156
CLEXL
CLEXL_X28Y78
SLICEL SLICE_X46Y78
SLICEX SLICE_X47Y78
INT
INT_X29Y78
TIEOFF TIEOFF_X51Y156
CLEXM
CLEXM_X29Y78
SLICEM SLICE_X48Y78
SLICEX SLICE_X49Y78
INT
INT_X30Y78
TIEOFF TIEOFF_X53Y156
CLEXL
CLEXL_X30Y78
SLICEL SLICE_X50Y78
SLICEX SLICE_X51Y78
INT
INT_X31Y78
TIEOFF TIEOFF_X55Y156
INT_INTERFACE
INT_INTERFACE_X31Y78
NULL
NULL_X73Y86
INT
INT_X32Y78
TIEOFF TIEOFF_X56Y156
CLEXM
CLEXM_X32Y78
SLICEM SLICE_X52Y78
SLICEX SLICE_X53Y78
INT
INT_X33Y78
TIEOFF TIEOFF_X58Y156
CLEXL
CLEXL_X33Y78
SLICEL SLICE_X54Y78
SLICEX SLICE_X55Y78
INT_BRAM
INT_BRAM_X34Y78
TIEOFF TIEOFF_X60Y156
INT_INTERFACE
INT_INTERFACE_X34Y78
NULL
NULL_X80Y86
INT
INT_X35Y78
TIEOFF TIEOFF_X61Y156
CLEXM
CLEXM_X35Y78
SLICEM SLICE_X56Y78
SLICEX SLICE_X57Y78
INT
INT_X36Y78
TIEOFF TIEOFF_X63Y156
CLEXL
CLEXL_X36Y78
SLICEL SLICE_X58Y78
SLICEX SLICE_X59Y78
INT
INT_X37Y78
TIEOFF TIEOFF_X65Y156
INT_INTERFACE
INT_INTERFACE_X37Y78
MCB_L
MCB_L_X37Y78
MCB MCB_X1Y1
IOI_RTERM
IOI_RTERM_X88Y86
EMP_RIOB
EMP_RIOB_X37Y78
EMP_LIOB
EMP_LIOB_X0Y85
IOI_LTERM
IOI_LTERM_X1Y85
INT
INT_X0Y77
TIEOFF TIEOFF_X0Y154
INT_INTERFACE
INT_INTERFACE_X0Y77
NULL
NULL_X4Y85
INT
INT_X1Y77
TIEOFF TIEOFF_X2Y154
CLEXL
CLEXL_X1Y77
SLICEL SLICE_X0Y77
SLICEX SLICE_X1Y77
INT
INT_X2Y77
TIEOFF TIEOFF_X4Y154
CLEXM
CLEXM_X2Y77
SLICEM SLICE_X2Y77
SLICEX SLICE_X3Y77
INT_BRAM
INT_BRAM_X3Y77
TIEOFF TIEOFF_X6Y154
INT_INTERFACE
INT_INTERFACE_X3Y77
NULL
NULL_X11Y85
INT
INT_X4Y77
TIEOFF TIEOFF_X7Y154
CLEXL
CLEXL_X4Y77
SLICEL SLICE_X4Y77
SLICEX SLICE_X5Y77
INT
INT_X5Y77
TIEOFF TIEOFF_X9Y154
CLEXM
CLEXM_X5Y77
SLICEM SLICE_X6Y77
SLICEX SLICE_X7Y77
INT
INT_X6Y77
TIEOFF TIEOFF_X11Y154
INT_INTERFACE
INT_INTERFACE_X6Y77
NULL
NULL_X18Y85
INT
INT_X7Y77
TIEOFF TIEOFF_X12Y154
CLEXL
CLEXL_X7Y77
SLICEL SLICE_X8Y77
SLICEX SLICE_X9Y77
INT
INT_X8Y77
TIEOFF TIEOFF_X14Y154
CLEXM
CLEXM_X8Y77
SLICEM SLICE_X10Y77
SLICEX SLICE_X11Y77
INT
INT_X9Y77
TIEOFF TIEOFF_X16Y154
CLEXL
CLEXL_X9Y77
SLICEL SLICE_X12Y77
SLICEX SLICE_X13Y77
INT
INT_X10Y77
TIEOFF TIEOFF_X17Y154
CLEXM
CLEXM_X10Y77
SLICEM SLICE_X14Y77
SLICEX SLICE_X15Y77
INT
INT_X11Y77
TIEOFF TIEOFF_X19Y154
CLEXL
CLEXL_X11Y77
SLICEL SLICE_X16Y77
SLICEX SLICE_X17Y77
INT_BRAM
INT_BRAM_X12Y77
TIEOFF TIEOFF_X21Y154
INT_INTERFACE
INT_INTERFACE_X12Y77
NULL
NULL_X31Y85
INT
INT_X13Y77
TIEOFF TIEOFF_X22Y154
CLEXM
CLEXM_X13Y77
SLICEM SLICE_X18Y77
SLICEX SLICE_X19Y77
INT
INT_X14Y77
TIEOFF TIEOFF_X24Y154
CLEXL
CLEXL_X14Y77
SLICEL SLICE_X20Y77
SLICEX SLICE_X21Y77
INT
INT_X15Y77
TIEOFF TIEOFF_X26Y154
CLEXM
CLEXM_X15Y77
SLICEM SLICE_X22Y77
SLICEX SLICE_X23Y77
INT
INT_X16Y77
TIEOFF TIEOFF_X28Y154
CLEXL
CLEXL_X16Y77
SLICEL SLICE_X24Y77
SLICEX SLICE_X25Y77
INT
INT_X17Y77
TIEOFF TIEOFF_X29Y154
CLEXM
CLEXM_X17Y77
SLICEM SLICE_X26Y77
SLICEX SLICE_X27Y77
INT
INT_X18Y77
TIEOFF TIEOFF_X31Y154
CLEXL
CLEXL_X18Y77
SLICEL SLICE_X28Y77
SLICEX SLICE_X29Y77
NULL
NULL_X44Y85
REG_V
REG_V_X18Y77
INT
INT_X19Y77
TIEOFF TIEOFF_X34Y154
CLEXM
CLEXM_X19Y77
SLICEM SLICE_X30Y77
SLICEX SLICE_X31Y77
INT
INT_X20Y77
TIEOFF TIEOFF_X36Y154
CLEXL
CLEXL_X20Y77
SLICEL SLICE_X32Y77
SLICEX SLICE_X33Y77
INT
INT_X21Y77
TIEOFF TIEOFF_X38Y154
CLEXM
CLEXM_X21Y77
SLICEM SLICE_X34Y77
SLICEX SLICE_X35Y77
INT
INT_X22Y77
TIEOFF TIEOFF_X39Y154
CLEXL
CLEXL_X22Y77
SLICEL SLICE_X36Y77
SLICEX SLICE_X37Y77
INT
INT_X23Y77
TIEOFF TIEOFF_X41Y154
CLEXM
CLEXM_X23Y77
SLICEM SLICE_X38Y77
SLICEX SLICE_X39Y77
INT
INT_X24Y77
TIEOFF TIEOFF_X43Y154
CLEXL
CLEXL_X24Y77
SLICEL SLICE_X40Y77
SLICEX SLICE_X41Y77
INT_BRAM
INT_BRAM_X25Y77
TIEOFF TIEOFF_X45Y154
INT_INTERFACE
INT_INTERFACE_X25Y77
NULL
NULL_X60Y85
INT
INT_X26Y77
TIEOFF TIEOFF_X46Y154
CLEXL
CLEXL_X26Y77
SLICEL SLICE_X42Y77
SLICEX SLICE_X43Y77
INT
INT_X27Y77
TIEOFF TIEOFF_X48Y154
CLEXM
CLEXM_X27Y77
SLICEM SLICE_X44Y77
SLICEX SLICE_X45Y77
INT
INT_X28Y77
TIEOFF TIEOFF_X50Y154
CLEXL
CLEXL_X28Y77
SLICEL SLICE_X46Y77
SLICEX SLICE_X47Y77
INT
INT_X29Y77
TIEOFF TIEOFF_X51Y154
CLEXM
CLEXM_X29Y77
SLICEM SLICE_X48Y77
SLICEX SLICE_X49Y77
INT
INT_X30Y77
TIEOFF TIEOFF_X53Y154
CLEXL
CLEXL_X30Y77
SLICEL SLICE_X50Y77
SLICEX SLICE_X51Y77
INT
INT_X31Y77
TIEOFF TIEOFF_X55Y154
INT_INTERFACE
INT_INTERFACE_X31Y77
NULL
NULL_X73Y85
INT
INT_X32Y77
TIEOFF TIEOFF_X56Y154
CLEXM
CLEXM_X32Y77
SLICEM SLICE_X52Y77
SLICEX SLICE_X53Y77
INT
INT_X33Y77
TIEOFF TIEOFF_X58Y154
CLEXL
CLEXL_X33Y77
SLICEL SLICE_X54Y77
SLICEX SLICE_X55Y77
INT_BRAM
INT_BRAM_X34Y77
TIEOFF TIEOFF_X60Y154
INT_INTERFACE
INT_INTERFACE_X34Y77
NULL
NULL_X80Y85
INT
INT_X35Y77
TIEOFF TIEOFF_X61Y154
CLEXM
CLEXM_X35Y77
SLICEM SLICE_X56Y77
SLICEX SLICE_X57Y77
INT
INT_X36Y77
TIEOFF TIEOFF_X63Y154
CLEXL
CLEXL_X36Y77
SLICEL SLICE_X58Y77
SLICEX SLICE_X59Y77
INT
INT_X37Y77
TIEOFF TIEOFF_X65Y154
INT_INTERFACE
INT_INTERFACE_X37Y77
NULL
NULL_X87Y85
IOI_RTERM
IOI_RTERM_X88Y85
EMP_RIOB
EMP_RIOB_X37Y77
EMP_LIOB
EMP_LIOB_X0Y84
IOI_LTERM
IOI_LTERM_X1Y84
INT
INT_X0Y76
TIEOFF TIEOFF_X0Y152
INT_INTERFACE
INT_INTERFACE_X0Y76
NULL
NULL_X4Y84
INT
INT_X1Y76
TIEOFF TIEOFF_X2Y152
CLEXL
CLEXL_X1Y76
SLICEL SLICE_X0Y76
SLICEX SLICE_X1Y76
INT
INT_X2Y76
TIEOFF TIEOFF_X4Y152
CLEXM
CLEXM_X2Y76
SLICEM SLICE_X2Y76
SLICEX SLICE_X3Y76
INT_BRAM
INT_BRAM_X3Y76
TIEOFF TIEOFF_X6Y152
INT_INTERFACE
INT_INTERFACE_X3Y76
BRAMSITE2
BRAMSITE2_X3Y76
RAMB16BWER RAMB16_X0Y38
RAMB8BWER RAMB8_X0Y38
RAMB8BWER RAMB8_X0Y39
INT
INT_X4Y76
TIEOFF TIEOFF_X7Y152
CLEXL
CLEXL_X4Y76
SLICEL SLICE_X4Y76
SLICEX SLICE_X5Y76
INT
INT_X5Y76
TIEOFF TIEOFF_X9Y152
CLEXM
CLEXM_X5Y76
SLICEM SLICE_X6Y76
SLICEX SLICE_X7Y76
INT
INT_X6Y76
TIEOFF TIEOFF_X11Y152
INT_INTERFACE
INT_INTERFACE_X6Y76
MACCSITE2
MACCSITE2_X6Y76
DSP48A1 DSP48_X0Y19
INT
INT_X7Y76
TIEOFF TIEOFF_X12Y152
CLEXL
CLEXL_X7Y76
SLICEL SLICE_X8Y76
SLICEX SLICE_X9Y76
INT
INT_X8Y76
TIEOFF TIEOFF_X14Y152
CLEXM
CLEXM_X8Y76
SLICEM SLICE_X10Y76
SLICEX SLICE_X11Y76
INT
INT_X9Y76
TIEOFF TIEOFF_X16Y152
CLEXL
CLEXL_X9Y76
SLICEL SLICE_X12Y76
SLICEX SLICE_X13Y76
INT
INT_X10Y76
TIEOFF TIEOFF_X17Y152
CLEXM
CLEXM_X10Y76
SLICEM SLICE_X14Y76
SLICEX SLICE_X15Y76
INT
INT_X11Y76
TIEOFF TIEOFF_X19Y152
CLEXL
CLEXL_X11Y76
SLICEL SLICE_X16Y76
SLICEX SLICE_X17Y76
INT_BRAM
INT_BRAM_X12Y76
TIEOFF TIEOFF_X21Y152
INT_INTERFACE
INT_INTERFACE_X12Y76
BRAMSITE2
BRAMSITE2_X12Y76
RAMB16BWER RAMB16_X1Y38
RAMB8BWER RAMB8_X1Y38
RAMB8BWER RAMB8_X1Y39
INT
INT_X13Y76
TIEOFF TIEOFF_X22Y152
CLEXM
CLEXM_X13Y76
SLICEM SLICE_X18Y76
SLICEX SLICE_X19Y76
INT
INT_X14Y76
TIEOFF TIEOFF_X24Y152
CLEXL
CLEXL_X14Y76
SLICEL SLICE_X20Y76
SLICEX SLICE_X21Y76
INT
INT_X15Y76
TIEOFF TIEOFF_X26Y152
CLEXM
CLEXM_X15Y76
SLICEM SLICE_X22Y76
SLICEX SLICE_X23Y76
INT
INT_X16Y76
TIEOFF TIEOFF_X28Y152
CLEXL
CLEXL_X16Y76
SLICEL SLICE_X24Y76
SLICEX SLICE_X25Y76
INT
INT_X17Y76
TIEOFF TIEOFF_X29Y152
CLEXM
CLEXM_X17Y76
SLICEM SLICE_X26Y76
SLICEX SLICE_X27Y76
INT
INT_X18Y76
TIEOFF TIEOFF_X31Y152
CLEXL
CLEXL_X18Y76
SLICEL SLICE_X28Y76
SLICEX SLICE_X29Y76
NULL
NULL_X44Y84
REG_V
REG_V_X18Y76
INT
INT_X19Y76
TIEOFF TIEOFF_X34Y152
CLEXM
CLEXM_X19Y76
SLICEM SLICE_X30Y76
SLICEX SLICE_X31Y76
INT
INT_X20Y76
TIEOFF TIEOFF_X36Y152
CLEXL
CLEXL_X20Y76
SLICEL SLICE_X32Y76
SLICEX SLICE_X33Y76
INT
INT_X21Y76
TIEOFF TIEOFF_X38Y152
CLEXM
CLEXM_X21Y76
SLICEM SLICE_X34Y76
SLICEX SLICE_X35Y76
INT
INT_X22Y76
TIEOFF TIEOFF_X39Y152
CLEXL
CLEXL_X22Y76
SLICEL SLICE_X36Y76
SLICEX SLICE_X37Y76
INT
INT_X23Y76
TIEOFF TIEOFF_X41Y152
CLEXM
CLEXM_X23Y76
SLICEM SLICE_X38Y76
SLICEX SLICE_X39Y76
INT
INT_X24Y76
TIEOFF TIEOFF_X43Y152
CLEXL
CLEXL_X24Y76
SLICEL SLICE_X40Y76
SLICEX SLICE_X41Y76
INT_BRAM
INT_BRAM_X25Y76
TIEOFF TIEOFF_X45Y152
INT_INTERFACE
INT_INTERFACE_X25Y76
BRAMSITE2
BRAMSITE2_X25Y76
RAMB16BWER RAMB16_X2Y38
RAMB8BWER RAMB8_X2Y38
RAMB8BWER RAMB8_X2Y39
INT
INT_X26Y76
TIEOFF TIEOFF_X46Y152
CLEXL
CLEXL_X26Y76
SLICEL SLICE_X42Y76
SLICEX SLICE_X43Y76
INT
INT_X27Y76
TIEOFF TIEOFF_X48Y152
CLEXM
CLEXM_X27Y76
SLICEM SLICE_X44Y76
SLICEX SLICE_X45Y76
INT
INT_X28Y76
TIEOFF TIEOFF_X50Y152
CLEXL
CLEXL_X28Y76
SLICEL SLICE_X46Y76
SLICEX SLICE_X47Y76
INT
INT_X29Y76
TIEOFF TIEOFF_X51Y152
CLEXM
CLEXM_X29Y76
SLICEM SLICE_X48Y76
SLICEX SLICE_X49Y76
INT
INT_X30Y76
TIEOFF TIEOFF_X53Y152
CLEXL
CLEXL_X30Y76
SLICEL SLICE_X50Y76
SLICEX SLICE_X51Y76
INT
INT_X31Y76
TIEOFF TIEOFF_X55Y152
INT_INTERFACE
INT_INTERFACE_X31Y76
MACCSITE2
MACCSITE2_X31Y76
DSP48A1 DSP48_X1Y19
INT
INT_X32Y76
TIEOFF TIEOFF_X56Y152
CLEXM
CLEXM_X32Y76
SLICEM SLICE_X52Y76
SLICEX SLICE_X53Y76
INT
INT_X33Y76
TIEOFF TIEOFF_X58Y152
CLEXL
CLEXL_X33Y76
SLICEL SLICE_X54Y76
SLICEX SLICE_X55Y76
INT_BRAM
INT_BRAM_X34Y76
TIEOFF TIEOFF_X60Y152
INT_INTERFACE
INT_INTERFACE_X34Y76
BRAMSITE2
BRAMSITE2_X34Y76
RAMB16BWER RAMB16_X3Y38
RAMB8BWER RAMB8_X3Y38
RAMB8BWER RAMB8_X3Y39
INT
INT_X35Y76
TIEOFF TIEOFF_X61Y152
CLEXM
CLEXM_X35Y76
SLICEM SLICE_X56Y76
SLICEX SLICE_X57Y76
INT
INT_X36Y76
TIEOFF TIEOFF_X63Y152
CLEXL
CLEXL_X36Y76
SLICEL SLICE_X58Y76
SLICEX SLICE_X59Y76
INT
INT_X37Y76
TIEOFF TIEOFF_X65Y152
INT_INTERFACE
INT_INTERFACE_X37Y76
NULL
NULL_X87Y84
IOI_RTERM
IOI_RTERM_X88Y84
EMP_RIOB
EMP_RIOB_X37Y76
EMP_LIOB
EMP_LIOB_X0Y83
IOI_LTERM
IOI_LTERM_X1Y83
INT
INT_X0Y75
TIEOFF TIEOFF_X0Y150
INT_INTERFACE
INT_INTERFACE_X0Y75
NULL
NULL_X4Y83
INT
INT_X1Y75
TIEOFF TIEOFF_X2Y150
CLEXL
CLEXL_X1Y75
SLICEL SLICE_X0Y75
SLICEX SLICE_X1Y75
INT
INT_X2Y75
TIEOFF TIEOFF_X4Y150
CLEXM
CLEXM_X2Y75
SLICEM SLICE_X2Y75
SLICEX SLICE_X3Y75
INT_BRAM
INT_BRAM_X3Y75
TIEOFF TIEOFF_X6Y150
INT_INTERFACE
INT_INTERFACE_X3Y75
NULL
NULL_X11Y83
INT
INT_X4Y75
TIEOFF TIEOFF_X7Y150
CLEXL
CLEXL_X4Y75
SLICEL SLICE_X4Y75
SLICEX SLICE_X5Y75
INT
INT_X5Y75
TIEOFF TIEOFF_X9Y150
CLEXM
CLEXM_X5Y75
SLICEM SLICE_X6Y75
SLICEX SLICE_X7Y75
INT
INT_X6Y75
TIEOFF TIEOFF_X11Y150
INT_INTERFACE
INT_INTERFACE_X6Y75
NULL
NULL_X18Y83
INT
INT_X7Y75
TIEOFF TIEOFF_X12Y150
CLEXL
CLEXL_X7Y75
SLICEL SLICE_X8Y75
SLICEX SLICE_X9Y75
INT
INT_X8Y75
TIEOFF TIEOFF_X14Y150
CLEXM
CLEXM_X8Y75
SLICEM SLICE_X10Y75
SLICEX SLICE_X11Y75
INT
INT_X9Y75
TIEOFF TIEOFF_X16Y150
CLEXL
CLEXL_X9Y75
SLICEL SLICE_X12Y75
SLICEX SLICE_X13Y75
INT
INT_X10Y75
TIEOFF TIEOFF_X17Y150
CLEXM
CLEXM_X10Y75
SLICEM SLICE_X14Y75
SLICEX SLICE_X15Y75
INT
INT_X11Y75
TIEOFF TIEOFF_X19Y150
CLEXL
CLEXL_X11Y75
SLICEL SLICE_X16Y75
SLICEX SLICE_X17Y75
INT_BRAM
INT_BRAM_X12Y75
TIEOFF TIEOFF_X21Y150
INT_INTERFACE
INT_INTERFACE_X12Y75
NULL
NULL_X31Y83
INT
INT_X13Y75
TIEOFF TIEOFF_X22Y150
CLEXM
CLEXM_X13Y75
SLICEM SLICE_X18Y75
SLICEX SLICE_X19Y75
INT
INT_X14Y75
TIEOFF TIEOFF_X24Y150
CLEXL
CLEXL_X14Y75
SLICEL SLICE_X20Y75
SLICEX SLICE_X21Y75
INT
INT_X15Y75
TIEOFF TIEOFF_X26Y150
CLEXM
CLEXM_X15Y75
SLICEM SLICE_X22Y75
SLICEX SLICE_X23Y75
INT
INT_X16Y75
TIEOFF TIEOFF_X28Y150
CLEXL
CLEXL_X16Y75
SLICEL SLICE_X24Y75
SLICEX SLICE_X25Y75
INT
INT_X17Y75
TIEOFF TIEOFF_X29Y150
CLEXM
CLEXM_X17Y75
SLICEM SLICE_X26Y75
SLICEX SLICE_X27Y75
INT
INT_X18Y75
TIEOFF TIEOFF_X31Y150
CLEXL
CLEXL_X18Y75
SLICEL SLICE_X28Y75
SLICEX SLICE_X29Y75
NULL
NULL_X44Y83
REG_V
REG_V_X18Y75
INT
INT_X19Y75
TIEOFF TIEOFF_X34Y150
CLEXM
CLEXM_X19Y75
SLICEM SLICE_X30Y75
SLICEX SLICE_X31Y75
INT
INT_X20Y75
TIEOFF TIEOFF_X36Y150
CLEXL
CLEXL_X20Y75
SLICEL SLICE_X32Y75
SLICEX SLICE_X33Y75
INT
INT_X21Y75
TIEOFF TIEOFF_X38Y150
CLEXM
CLEXM_X21Y75
SLICEM SLICE_X34Y75
SLICEX SLICE_X35Y75
INT
INT_X22Y75
TIEOFF TIEOFF_X39Y150
CLEXL
CLEXL_X22Y75
SLICEL SLICE_X36Y75
SLICEX SLICE_X37Y75
INT
INT_X23Y75
TIEOFF TIEOFF_X41Y150
CLEXM
CLEXM_X23Y75
SLICEM SLICE_X38Y75
SLICEX SLICE_X39Y75
INT
INT_X24Y75
TIEOFF TIEOFF_X43Y150
CLEXL
CLEXL_X24Y75
SLICEL SLICE_X40Y75
SLICEX SLICE_X41Y75
INT_BRAM
INT_BRAM_X25Y75
TIEOFF TIEOFF_X45Y150
INT_INTERFACE
INT_INTERFACE_X25Y75
NULL
NULL_X60Y83
INT
INT_X26Y75
TIEOFF TIEOFF_X46Y150
CLEXL
CLEXL_X26Y75
SLICEL SLICE_X42Y75
SLICEX SLICE_X43Y75
INT
INT_X27Y75
TIEOFF TIEOFF_X48Y150
CLEXM
CLEXM_X27Y75
SLICEM SLICE_X44Y75
SLICEX SLICE_X45Y75
INT
INT_X28Y75
TIEOFF TIEOFF_X50Y150
CLEXL
CLEXL_X28Y75
SLICEL SLICE_X46Y75
SLICEX SLICE_X47Y75
INT
INT_X29Y75
TIEOFF TIEOFF_X51Y150
CLEXM
CLEXM_X29Y75
SLICEM SLICE_X48Y75
SLICEX SLICE_X49Y75
INT
INT_X30Y75
TIEOFF TIEOFF_X53Y150
CLEXL
CLEXL_X30Y75
SLICEL SLICE_X50Y75
SLICEX SLICE_X51Y75
INT
INT_X31Y75
TIEOFF TIEOFF_X55Y150
INT_INTERFACE
INT_INTERFACE_X31Y75
NULL
NULL_X73Y83
INT
INT_X32Y75
TIEOFF TIEOFF_X56Y150
CLEXM
CLEXM_X32Y75
SLICEM SLICE_X52Y75
SLICEX SLICE_X53Y75
INT
INT_X33Y75
TIEOFF TIEOFF_X58Y150
CLEXL
CLEXL_X33Y75
SLICEL SLICE_X54Y75
SLICEX SLICE_X55Y75
INT_BRAM
INT_BRAM_X34Y75
TIEOFF TIEOFF_X60Y150
INT_INTERFACE
INT_INTERFACE_X34Y75
NULL
NULL_X80Y83
INT
INT_X35Y75
TIEOFF TIEOFF_X61Y150
CLEXM
CLEXM_X35Y75
SLICEM SLICE_X56Y75
SLICEX SLICE_X57Y75
INT
INT_X36Y75
TIEOFF TIEOFF_X63Y150
CLEXL
CLEXL_X36Y75
SLICEL SLICE_X58Y75
SLICEX SLICE_X59Y75
INT
INT_X37Y75
TIEOFF TIEOFF_X65Y150
INT_INTERFACE
INT_INTERFACE_X37Y75
NULL
NULL_X87Y83
IOI_RTERM
IOI_RTERM_X88Y83
EMP_RIOB
EMP_RIOB_X37Y75
EMP_LIOB
EMP_LIOB_X0Y82
IOI_LTERM
IOI_LTERM_X1Y82
INT
INT_X0Y74
TIEOFF TIEOFF_X0Y148
INT_INTERFACE
INT_INTERFACE_X0Y74
NULL
NULL_X4Y82
INT
INT_X1Y74
TIEOFF TIEOFF_X2Y148
CLEXL
CLEXL_X1Y74
SLICEL SLICE_X0Y74
SLICEX SLICE_X1Y74
INT
INT_X2Y74
TIEOFF TIEOFF_X4Y148
CLEXM
CLEXM_X2Y74
SLICEM SLICE_X2Y74
SLICEX SLICE_X3Y74
INT_BRAM
INT_BRAM_X3Y74
TIEOFF TIEOFF_X6Y148
INT_INTERFACE
INT_INTERFACE_X3Y74
NULL
NULL_X11Y82
INT
INT_X4Y74
TIEOFF TIEOFF_X7Y148
CLEXL
CLEXL_X4Y74
SLICEL SLICE_X4Y74
SLICEX SLICE_X5Y74
INT
INT_X5Y74
TIEOFF TIEOFF_X9Y148
CLEXM
CLEXM_X5Y74
SLICEM SLICE_X6Y74
SLICEX SLICE_X7Y74
INT
INT_X6Y74
TIEOFF TIEOFF_X11Y148
INT_INTERFACE
INT_INTERFACE_X6Y74
NULL
NULL_X18Y82
INT
INT_X7Y74
TIEOFF TIEOFF_X12Y148
CLEXL
CLEXL_X7Y74
SLICEL SLICE_X8Y74
SLICEX SLICE_X9Y74
INT
INT_X8Y74
TIEOFF TIEOFF_X14Y148
CLEXM
CLEXM_X8Y74
SLICEM SLICE_X10Y74
SLICEX SLICE_X11Y74
INT
INT_X9Y74
TIEOFF TIEOFF_X16Y148
CLEXL
CLEXL_X9Y74
SLICEL SLICE_X12Y74
SLICEX SLICE_X13Y74
INT
INT_X10Y74
TIEOFF TIEOFF_X17Y148
CLEXM
CLEXM_X10Y74
SLICEM SLICE_X14Y74
SLICEX SLICE_X15Y74
INT
INT_X11Y74
TIEOFF TIEOFF_X19Y148
CLEXL
CLEXL_X11Y74
SLICEL SLICE_X16Y74
SLICEX SLICE_X17Y74
INT_BRAM
INT_BRAM_X12Y74
TIEOFF TIEOFF_X21Y148
INT_INTERFACE
INT_INTERFACE_X12Y74
NULL
NULL_X31Y82
INT
INT_X13Y74
TIEOFF TIEOFF_X22Y148
CLEXM
CLEXM_X13Y74
SLICEM SLICE_X18Y74
SLICEX SLICE_X19Y74
INT
INT_X14Y74
TIEOFF TIEOFF_X24Y148
CLEXL
CLEXL_X14Y74
SLICEL SLICE_X20Y74
SLICEX SLICE_X21Y74
INT
INT_X15Y74
TIEOFF TIEOFF_X26Y148
CLEXM
CLEXM_X15Y74
SLICEM SLICE_X22Y74
SLICEX SLICE_X23Y74
INT
INT_X16Y74
TIEOFF TIEOFF_X28Y148
CLEXL
CLEXL_X16Y74
SLICEL SLICE_X24Y74
SLICEX SLICE_X25Y74
INT
INT_X17Y74
TIEOFF TIEOFF_X29Y148
CLEXM
CLEXM_X17Y74
SLICEM SLICE_X26Y74
SLICEX SLICE_X27Y74
INT
INT_X18Y74
TIEOFF TIEOFF_X31Y148
CLEXL
CLEXL_X18Y74
SLICEL SLICE_X28Y74
SLICEX SLICE_X29Y74
NULL
NULL_X44Y82
REG_V
REG_V_X18Y74
INT
INT_X19Y74
TIEOFF TIEOFF_X34Y148
CLEXM
CLEXM_X19Y74
SLICEM SLICE_X30Y74
SLICEX SLICE_X31Y74
INT
INT_X20Y74
TIEOFF TIEOFF_X36Y148
CLEXL
CLEXL_X20Y74
SLICEL SLICE_X32Y74
SLICEX SLICE_X33Y74
INT
INT_X21Y74
TIEOFF TIEOFF_X38Y148
CLEXM
CLEXM_X21Y74
SLICEM SLICE_X34Y74
SLICEX SLICE_X35Y74
INT
INT_X22Y74
TIEOFF TIEOFF_X39Y148
CLEXL
CLEXL_X22Y74
SLICEL SLICE_X36Y74
SLICEX SLICE_X37Y74
INT
INT_X23Y74
TIEOFF TIEOFF_X41Y148
CLEXM
CLEXM_X23Y74
SLICEM SLICE_X38Y74
SLICEX SLICE_X39Y74
INT
INT_X24Y74
TIEOFF TIEOFF_X43Y148
CLEXL
CLEXL_X24Y74
SLICEL SLICE_X40Y74
SLICEX SLICE_X41Y74
INT_BRAM
INT_BRAM_X25Y74
TIEOFF TIEOFF_X45Y148
INT_INTERFACE
INT_INTERFACE_X25Y74
NULL
NULL_X60Y82
INT
INT_X26Y74
TIEOFF TIEOFF_X46Y148
CLEXL
CLEXL_X26Y74
SLICEL SLICE_X42Y74
SLICEX SLICE_X43Y74
INT
INT_X27Y74
TIEOFF TIEOFF_X48Y148
CLEXM
CLEXM_X27Y74
SLICEM SLICE_X44Y74
SLICEX SLICE_X45Y74
INT
INT_X28Y74
TIEOFF TIEOFF_X50Y148
CLEXL
CLEXL_X28Y74
SLICEL SLICE_X46Y74
SLICEX SLICE_X47Y74
INT
INT_X29Y74
TIEOFF TIEOFF_X51Y148
CLEXM
CLEXM_X29Y74
SLICEM SLICE_X48Y74
SLICEX SLICE_X49Y74
INT
INT_X30Y74
TIEOFF TIEOFF_X53Y148
CLEXL
CLEXL_X30Y74
SLICEL SLICE_X50Y74
SLICEX SLICE_X51Y74
INT
INT_X31Y74
TIEOFF TIEOFF_X55Y148
INT_INTERFACE
INT_INTERFACE_X31Y74
NULL
NULL_X73Y82
INT
INT_X32Y74
TIEOFF TIEOFF_X56Y148
CLEXM
CLEXM_X32Y74
SLICEM SLICE_X52Y74
SLICEX SLICE_X53Y74
INT
INT_X33Y74
TIEOFF TIEOFF_X58Y148
CLEXL
CLEXL_X33Y74
SLICEL SLICE_X54Y74
SLICEX SLICE_X55Y74
INT_BRAM
INT_BRAM_X34Y74
TIEOFF TIEOFF_X60Y148
INT_INTERFACE
INT_INTERFACE_X34Y74
NULL
NULL_X80Y82
INT
INT_X35Y74
TIEOFF TIEOFF_X61Y148
CLEXM
CLEXM_X35Y74
SLICEM SLICE_X56Y74
SLICEX SLICE_X57Y74
INT
INT_X36Y74
TIEOFF TIEOFF_X63Y148
CLEXL
CLEXL_X36Y74
SLICEL SLICE_X58Y74
SLICEX SLICE_X59Y74
INT
INT_X37Y74
TIEOFF TIEOFF_X65Y148
INT_INTERFACE
INT_INTERFACE_X37Y74
NULL
NULL_X87Y82
IOI_RTERM
IOI_RTERM_X88Y82
EMP_RIOB
EMP_RIOB_X37Y74
EMP_LIOB
EMP_LIOB_X0Y81
IOI_LTERM
IOI_LTERM_X1Y81
INT
INT_X0Y73
TIEOFF TIEOFF_X0Y146
INT_INTERFACE
INT_INTERFACE_X0Y73
NULL
NULL_X4Y81
INT
INT_X1Y73
TIEOFF TIEOFF_X2Y146
CLEXL
CLEXL_X1Y73
SLICEL SLICE_X0Y73
SLICEX SLICE_X1Y73
INT
INT_X2Y73
TIEOFF TIEOFF_X4Y146
CLEXM
CLEXM_X2Y73
SLICEM SLICE_X2Y73
SLICEX SLICE_X3Y73
INT_BRAM
INT_BRAM_X3Y73
TIEOFF TIEOFF_X6Y146
INT_INTERFACE
INT_INTERFACE_X3Y73
NULL
NULL_X11Y81
INT
INT_X4Y73
TIEOFF TIEOFF_X7Y146
CLEXL
CLEXL_X4Y73
SLICEL SLICE_X4Y73
SLICEX SLICE_X5Y73
INT
INT_X5Y73
TIEOFF TIEOFF_X9Y146
CLEXM
CLEXM_X5Y73
SLICEM SLICE_X6Y73
SLICEX SLICE_X7Y73
INT
INT_X6Y73
TIEOFF TIEOFF_X11Y146
INT_INTERFACE
INT_INTERFACE_X6Y73
NULL
NULL_X18Y81
INT
INT_X7Y73
TIEOFF TIEOFF_X12Y146
CLEXL
CLEXL_X7Y73
SLICEL SLICE_X8Y73
SLICEX SLICE_X9Y73
INT
INT_X8Y73
TIEOFF TIEOFF_X14Y146
CLEXM
CLEXM_X8Y73
SLICEM SLICE_X10Y73
SLICEX SLICE_X11Y73
INT
INT_X9Y73
TIEOFF TIEOFF_X16Y146
CLEXL
CLEXL_X9Y73
SLICEL SLICE_X12Y73
SLICEX SLICE_X13Y73
INT
INT_X10Y73
TIEOFF TIEOFF_X17Y146
CLEXM
CLEXM_X10Y73
SLICEM SLICE_X14Y73
SLICEX SLICE_X15Y73
INT
INT_X11Y73
TIEOFF TIEOFF_X19Y146
CLEXL
CLEXL_X11Y73
SLICEL SLICE_X16Y73
SLICEX SLICE_X17Y73
INT_BRAM
INT_BRAM_X12Y73
TIEOFF TIEOFF_X21Y146
INT_INTERFACE
INT_INTERFACE_X12Y73
NULL
NULL_X31Y81
INT
INT_X13Y73
TIEOFF TIEOFF_X22Y146
CLEXM
CLEXM_X13Y73
SLICEM SLICE_X18Y73
SLICEX SLICE_X19Y73
INT
INT_X14Y73
TIEOFF TIEOFF_X24Y146
CLEXL
CLEXL_X14Y73
SLICEL SLICE_X20Y73
SLICEX SLICE_X21Y73
INT
INT_X15Y73
TIEOFF TIEOFF_X26Y146
CLEXM
CLEXM_X15Y73
SLICEM SLICE_X22Y73
SLICEX SLICE_X23Y73
INT
INT_X16Y73
TIEOFF TIEOFF_X28Y146
CLEXL
CLEXL_X16Y73
SLICEL SLICE_X24Y73
SLICEX SLICE_X25Y73
INT
INT_X17Y73
TIEOFF TIEOFF_X29Y146
CLEXM
CLEXM_X17Y73
SLICEM SLICE_X26Y73
SLICEX SLICE_X27Y73
INT
INT_X18Y73
TIEOFF TIEOFF_X31Y146
CLEXL
CLEXL_X18Y73
SLICEL SLICE_X28Y73
SLICEX SLICE_X29Y73
NULL
NULL_X44Y81
REG_V
REG_V_X18Y73
INT
INT_X19Y73
TIEOFF TIEOFF_X34Y146
CLEXM
CLEXM_X19Y73
SLICEM SLICE_X30Y73
SLICEX SLICE_X31Y73
INT
INT_X20Y73
TIEOFF TIEOFF_X36Y146
CLEXL
CLEXL_X20Y73
SLICEL SLICE_X32Y73
SLICEX SLICE_X33Y73
INT
INT_X21Y73
TIEOFF TIEOFF_X38Y146
CLEXM
CLEXM_X21Y73
SLICEM SLICE_X34Y73
SLICEX SLICE_X35Y73
INT
INT_X22Y73
TIEOFF TIEOFF_X39Y146
CLEXL
CLEXL_X22Y73
SLICEL SLICE_X36Y73
SLICEX SLICE_X37Y73
INT
INT_X23Y73
TIEOFF TIEOFF_X41Y146
CLEXM
CLEXM_X23Y73
SLICEM SLICE_X38Y73
SLICEX SLICE_X39Y73
INT
INT_X24Y73
TIEOFF TIEOFF_X43Y146
CLEXL
CLEXL_X24Y73
SLICEL SLICE_X40Y73
SLICEX SLICE_X41Y73
INT_BRAM
INT_BRAM_X25Y73
TIEOFF TIEOFF_X45Y146
INT_INTERFACE
INT_INTERFACE_X25Y73
NULL
NULL_X60Y81
INT
INT_X26Y73
TIEOFF TIEOFF_X46Y146
CLEXL
CLEXL_X26Y73
SLICEL SLICE_X42Y73
SLICEX SLICE_X43Y73
INT
INT_X27Y73
TIEOFF TIEOFF_X48Y146
CLEXM
CLEXM_X27Y73
SLICEM SLICE_X44Y73
SLICEX SLICE_X45Y73
INT
INT_X28Y73
TIEOFF TIEOFF_X50Y146
CLEXL
CLEXL_X28Y73
SLICEL SLICE_X46Y73
SLICEX SLICE_X47Y73
INT
INT_X29Y73
TIEOFF TIEOFF_X51Y146
CLEXM
CLEXM_X29Y73
SLICEM SLICE_X48Y73
SLICEX SLICE_X49Y73
INT
INT_X30Y73
TIEOFF TIEOFF_X53Y146
CLEXL
CLEXL_X30Y73
SLICEL SLICE_X50Y73
SLICEX SLICE_X51Y73
INT
INT_X31Y73
TIEOFF TIEOFF_X55Y146
INT_INTERFACE
INT_INTERFACE_X31Y73
NULL
NULL_X73Y81
INT
INT_X32Y73
TIEOFF TIEOFF_X56Y146
CLEXM
CLEXM_X32Y73
SLICEM SLICE_X52Y73
SLICEX SLICE_X53Y73
INT
INT_X33Y73
TIEOFF TIEOFF_X58Y146
CLEXL
CLEXL_X33Y73
SLICEL SLICE_X54Y73
SLICEX SLICE_X55Y73
INT_BRAM
INT_BRAM_X34Y73
TIEOFF TIEOFF_X60Y146
INT_INTERFACE
INT_INTERFACE_X34Y73
NULL
NULL_X80Y81
INT
INT_X35Y73
TIEOFF TIEOFF_X61Y146
CLEXM
CLEXM_X35Y73
SLICEM SLICE_X56Y73
SLICEX SLICE_X57Y73
INT
INT_X36Y73
TIEOFF TIEOFF_X63Y146
CLEXL
CLEXL_X36Y73
SLICEL SLICE_X58Y73
SLICEX SLICE_X59Y73
INT
INT_X37Y73
TIEOFF TIEOFF_X65Y146
INT_INTERFACE
INT_INTERFACE_X37Y73
NULL
NULL_X87Y81
IOI_RTERM
IOI_RTERM_X88Y81
EMP_RIOB
EMP_RIOB_X37Y73
EMP_LIOB
EMP_LIOB_X0Y80
IOI_LTERM
IOI_LTERM_X1Y80
INT
INT_X0Y72
TIEOFF TIEOFF_X0Y144
INT_INTERFACE
INT_INTERFACE_X0Y72
NULL
NULL_X4Y80
INT
INT_X1Y72
TIEOFF TIEOFF_X2Y144
CLEXL
CLEXL_X1Y72
SLICEL SLICE_X0Y72
SLICEX SLICE_X1Y72
INT
INT_X2Y72
TIEOFF TIEOFF_X4Y144
CLEXM
CLEXM_X2Y72
SLICEM SLICE_X2Y72
SLICEX SLICE_X3Y72
INT_BRAM
INT_BRAM_X3Y72
TIEOFF TIEOFF_X6Y144
INT_INTERFACE
INT_INTERFACE_X3Y72
BRAMSITE2
BRAMSITE2_X3Y72
RAMB16BWER RAMB16_X0Y36
RAMB8BWER RAMB8_X0Y36
RAMB8BWER RAMB8_X0Y37
INT
INT_X4Y72
TIEOFF TIEOFF_X7Y144
CLEXL
CLEXL_X4Y72
SLICEL SLICE_X4Y72
SLICEX SLICE_X5Y72
INT
INT_X5Y72
TIEOFF TIEOFF_X9Y144
CLEXM
CLEXM_X5Y72
SLICEM SLICE_X6Y72
SLICEX SLICE_X7Y72
INT
INT_X6Y72
TIEOFF TIEOFF_X11Y144
INT_INTERFACE
INT_INTERFACE_X6Y72
MACCSITE2
MACCSITE2_X6Y72
DSP48A1 DSP48_X0Y18
INT
INT_X7Y72
TIEOFF TIEOFF_X12Y144
CLEXL
CLEXL_X7Y72
SLICEL SLICE_X8Y72
SLICEX SLICE_X9Y72
INT
INT_X8Y72
TIEOFF TIEOFF_X14Y144
CLEXM
CLEXM_X8Y72
SLICEM SLICE_X10Y72
SLICEX SLICE_X11Y72
INT
INT_X9Y72
TIEOFF TIEOFF_X16Y144
CLEXL
CLEXL_X9Y72
SLICEL SLICE_X12Y72
SLICEX SLICE_X13Y72
INT
INT_X10Y72
TIEOFF TIEOFF_X17Y144
CLEXM
CLEXM_X10Y72
SLICEM SLICE_X14Y72
SLICEX SLICE_X15Y72
INT
INT_X11Y72
TIEOFF TIEOFF_X19Y144
CLEXL
CLEXL_X11Y72
SLICEL SLICE_X16Y72
SLICEX SLICE_X17Y72
INT_BRAM
INT_BRAM_X12Y72
TIEOFF TIEOFF_X21Y144
INT_INTERFACE
INT_INTERFACE_X12Y72
BRAMSITE2
BRAMSITE2_X12Y72
RAMB16BWER RAMB16_X1Y36
RAMB8BWER RAMB8_X1Y36
RAMB8BWER RAMB8_X1Y37
INT
INT_X13Y72
TIEOFF TIEOFF_X22Y144
CLEXM
CLEXM_X13Y72
SLICEM SLICE_X18Y72
SLICEX SLICE_X19Y72
INT
INT_X14Y72
TIEOFF TIEOFF_X24Y144
CLEXL
CLEXL_X14Y72
SLICEL SLICE_X20Y72
SLICEX SLICE_X21Y72
INT
INT_X15Y72
TIEOFF TIEOFF_X26Y144
CLEXM
CLEXM_X15Y72
SLICEM SLICE_X22Y72
SLICEX SLICE_X23Y72
INT
INT_X16Y72
TIEOFF TIEOFF_X28Y144
CLEXL
CLEXL_X16Y72
SLICEL SLICE_X24Y72
SLICEX SLICE_X25Y72
INT
INT_X17Y72
TIEOFF TIEOFF_X29Y144
CLEXM
CLEXM_X17Y72
SLICEM SLICE_X26Y72
SLICEX SLICE_X27Y72
IOI_INT
IOI_INT_X18Y72
TIEOFF TIEOFF_X31Y144
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y72
CMT_DCM_TOP
CMT_DCM_TOP_X18Y72
DCM DCM_X0Y5
DCM DCM_X0Y4
REG_V
REG_V_X18Y72
INT
INT_X19Y72
TIEOFF TIEOFF_X34Y144
CLEXM
CLEXM_X19Y72
SLICEM SLICE_X30Y72
SLICEX SLICE_X31Y72
INT
INT_X20Y72
TIEOFF TIEOFF_X36Y144
CLEXL
CLEXL_X20Y72
SLICEL SLICE_X32Y72
SLICEX SLICE_X33Y72
INT
INT_X21Y72
TIEOFF TIEOFF_X38Y144
CLEXM
CLEXM_X21Y72
SLICEM SLICE_X34Y72
SLICEX SLICE_X35Y72
INT
INT_X22Y72
TIEOFF TIEOFF_X39Y144
CLEXL
CLEXL_X22Y72
SLICEL SLICE_X36Y72
SLICEX SLICE_X37Y72
INT
INT_X23Y72
TIEOFF TIEOFF_X41Y144
CLEXM
CLEXM_X23Y72
SLICEM SLICE_X38Y72
SLICEX SLICE_X39Y72
INT
INT_X24Y72
TIEOFF TIEOFF_X43Y144
CLEXL
CLEXL_X24Y72
SLICEL SLICE_X40Y72
SLICEX SLICE_X41Y72
INT_BRAM
INT_BRAM_X25Y72
TIEOFF TIEOFF_X45Y144
INT_INTERFACE
INT_INTERFACE_X25Y72
BRAMSITE2
BRAMSITE2_X25Y72
RAMB16BWER RAMB16_X2Y36
RAMB8BWER RAMB8_X2Y36
RAMB8BWER RAMB8_X2Y37
INT
INT_X26Y72
TIEOFF TIEOFF_X46Y144
CLEXL
CLEXL_X26Y72
SLICEL SLICE_X42Y72
SLICEX SLICE_X43Y72
INT
INT_X27Y72
TIEOFF TIEOFF_X48Y144
CLEXM
CLEXM_X27Y72
SLICEM SLICE_X44Y72
SLICEX SLICE_X45Y72
INT
INT_X28Y72
TIEOFF TIEOFF_X50Y144
CLEXL
CLEXL_X28Y72
SLICEL SLICE_X46Y72
SLICEX SLICE_X47Y72
INT
INT_X29Y72
TIEOFF TIEOFF_X51Y144
CLEXM
CLEXM_X29Y72
SLICEM SLICE_X48Y72
SLICEX SLICE_X49Y72
INT
INT_X30Y72
TIEOFF TIEOFF_X53Y144
CLEXL
CLEXL_X30Y72
SLICEL SLICE_X50Y72
SLICEX SLICE_X51Y72
INT
INT_X31Y72
TIEOFF TIEOFF_X55Y144
INT_INTERFACE
INT_INTERFACE_X31Y72
MACCSITE2
MACCSITE2_X31Y72
DSP48A1 DSP48_X1Y18
INT
INT_X32Y72
TIEOFF TIEOFF_X56Y144
CLEXM
CLEXM_X32Y72
SLICEM SLICE_X52Y72
SLICEX SLICE_X53Y72
INT
INT_X33Y72
TIEOFF TIEOFF_X58Y144
CLEXL
CLEXL_X33Y72
SLICEL SLICE_X54Y72
SLICEX SLICE_X55Y72
INT_BRAM
INT_BRAM_X34Y72
TIEOFF TIEOFF_X60Y144
INT_INTERFACE
INT_INTERFACE_X34Y72
BRAMSITE2
BRAMSITE2_X34Y72
RAMB16BWER RAMB16_X3Y36
RAMB8BWER RAMB8_X3Y36
RAMB8BWER RAMB8_X3Y37
INT
INT_X35Y72
TIEOFF TIEOFF_X61Y144
CLEXM
CLEXM_X35Y72
SLICEM SLICE_X56Y72
SLICEX SLICE_X57Y72
INT
INT_X36Y72
TIEOFF TIEOFF_X63Y144
CLEXL
CLEXL_X36Y72
SLICEL SLICE_X58Y72
SLICEX SLICE_X59Y72
INT
INT_X37Y72
TIEOFF TIEOFF_X65Y144
INT_INTERFACE
INT_INTERFACE_X37Y72
NULL
NULL_X87Y80
IOI_RTERM
IOI_RTERM_X88Y80
EMP_RIOB
EMP_RIOB_X37Y72
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y79
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y79
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y71
HCLK_IOIL_TOP_DN
HCLK_IOIL_TOP_DN_X0Y71
MCB_HCLK
MCB_HCLK_X0Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y71
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y71
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y71
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y71
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y71
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y71
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y71
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y71
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y71
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y71
NULL
NULL_X44Y79
REG_V_HCLK
REG_V_HCLK_X44Y79
BUFH BUFH_X0Y159
BUFH BUFH_X0Y158
BUFH BUFH_X0Y157
BUFH BUFH_X0Y156
BUFH BUFH_X0Y155
BUFH BUFH_X0Y154
BUFH BUFH_X0Y153
BUFH BUFH_X0Y152
BUFH BUFH_X0Y151
BUFH BUFH_X0Y150
BUFH BUFH_X0Y149
BUFH BUFH_X0Y148
BUFH BUFH_X0Y147
BUFH BUFH_X0Y146
BUFH BUFH_X0Y145
BUFH BUFH_X0Y144
BUFH BUFH_X3Y143
BUFH BUFH_X3Y142
BUFH BUFH_X3Y141
BUFH BUFH_X3Y140
BUFH BUFH_X3Y139
BUFH BUFH_X3Y138
BUFH BUFH_X3Y137
BUFH BUFH_X3Y136
BUFH BUFH_X3Y135
BUFH BUFH_X3Y134
BUFH BUFH_X3Y133
BUFH BUFH_X3Y132
BUFH BUFH_X3Y131
BUFH BUFH_X3Y130
BUFH BUFH_X3Y129
BUFH BUFH_X3Y128
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y71
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y71
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y71
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y71
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y71
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y71
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y71
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y71
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y71
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y71
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y71
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y71
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y71
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y71
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y71
HCLK_IOIR_TOP_DN
HCLK_IOIR_TOP_DN_X37Y71
MCB_HCLK
MCB_HCLK_X37Y71
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y79
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y79
LIOB
LIOB_X0Y71
IOBM J3
IOBS J1
IOI_LTERM
IOI_LTERM_X1Y78
LIOI_INT
LIOI_INT_X0Y71
TIEOFF TIEOFF_X0Y142
LIOI
LIOI_X0Y71
OLOGIC2 OLOGIC_X0Y70
IODELAY2 IODELAY_X0Y70
ILOGIC2 ILOGIC_X0Y70
OLOGIC2 OLOGIC_X0Y71
IODELAY2 IODELAY_X0Y71
ILOGIC2 ILOGIC_X0Y71
TIEOFF TIEOFF_X1Y142
MCB_INT
MCB_INT_X0Y71
INT
INT_X1Y71
TIEOFF TIEOFF_X2Y142
CLEXL
CLEXL_X1Y71
SLICEL SLICE_X0Y71
SLICEX SLICE_X1Y71
INT
INT_X2Y71
TIEOFF TIEOFF_X4Y142
CLEXM
CLEXM_X2Y71
SLICEM SLICE_X2Y71
SLICEX SLICE_X3Y71
INT_BRAM
INT_BRAM_X3Y71
TIEOFF TIEOFF_X6Y142
INT_INTERFACE
INT_INTERFACE_X3Y71
NULL
NULL_X11Y78
INT
INT_X4Y71
TIEOFF TIEOFF_X7Y142
CLEXL
CLEXL_X4Y71
SLICEL SLICE_X4Y71
SLICEX SLICE_X5Y71
INT
INT_X5Y71
TIEOFF TIEOFF_X9Y142
CLEXM
CLEXM_X5Y71
SLICEM SLICE_X6Y71
SLICEX SLICE_X7Y71
INT
INT_X6Y71
TIEOFF TIEOFF_X11Y142
INT_INTERFACE
INT_INTERFACE_X6Y71
NULL
NULL_X18Y78
INT
INT_X7Y71
TIEOFF TIEOFF_X12Y142
CLEXL
CLEXL_X7Y71
SLICEL SLICE_X8Y71
SLICEX SLICE_X9Y71
INT
INT_X8Y71
TIEOFF TIEOFF_X14Y142
CLEXM
CLEXM_X8Y71
SLICEM SLICE_X10Y71
SLICEX SLICE_X11Y71
INT
INT_X9Y71
TIEOFF TIEOFF_X16Y142
CLEXL
CLEXL_X9Y71
SLICEL SLICE_X12Y71
SLICEX SLICE_X13Y71
INT
INT_X10Y71
TIEOFF TIEOFF_X17Y142
CLEXM
CLEXM_X10Y71
SLICEM SLICE_X14Y71
SLICEX SLICE_X15Y71
INT
INT_X11Y71
TIEOFF TIEOFF_X19Y142
CLEXL
CLEXL_X11Y71
SLICEL SLICE_X16Y71
SLICEX SLICE_X17Y71
INT_BRAM
INT_BRAM_X12Y71
TIEOFF TIEOFF_X21Y142
INT_INTERFACE
INT_INTERFACE_X12Y71
NULL
NULL_X31Y78
INT
INT_X13Y71
TIEOFF TIEOFF_X22Y142
CLEXM
CLEXM_X13Y71
SLICEM SLICE_X18Y71
SLICEX SLICE_X19Y71
INT
INT_X14Y71
TIEOFF TIEOFF_X24Y142
CLEXL
CLEXL_X14Y71
SLICEL SLICE_X20Y71
SLICEX SLICE_X21Y71
INT
INT_X15Y71
TIEOFF TIEOFF_X26Y142
CLEXM
CLEXM_X15Y71
SLICEM SLICE_X22Y71
SLICEX SLICE_X23Y71
INT
INT_X16Y71
TIEOFF TIEOFF_X28Y142
CLEXL
CLEXL_X16Y71
SLICEL SLICE_X24Y71
SLICEX SLICE_X25Y71
INT
INT_X17Y71
TIEOFF TIEOFF_X29Y142
CLEXM
CLEXM_X17Y71
SLICEM SLICE_X26Y71
SLICEX SLICE_X27Y71
IOI_INT
IOI_INT_X18Y71
TIEOFF TIEOFF_X31Y142
INT_INTERFACE_IOI_DCMBOT
INT_INTERFACE_IOI_X18Y71
NULL
NULL_X44Y78
REG_V_MEMB_TOP
REG_V_MEMB_TOP_X18Y71
INT
INT_X19Y71
TIEOFF TIEOFF_X34Y142
CLEXM
CLEXM_X19Y71
SLICEM SLICE_X30Y71
SLICEX SLICE_X31Y71
INT
INT_X20Y71
TIEOFF TIEOFF_X36Y142
CLEXL
CLEXL_X20Y71
SLICEL SLICE_X32Y71
SLICEX SLICE_X33Y71
INT
INT_X21Y71
TIEOFF TIEOFF_X38Y142
CLEXM
CLEXM_X21Y71
SLICEM SLICE_X34Y71
SLICEX SLICE_X35Y71
INT
INT_X22Y71
TIEOFF TIEOFF_X39Y142
CLEXL
CLEXL_X22Y71
SLICEL SLICE_X36Y71
SLICEX SLICE_X37Y71
INT
INT_X23Y71
TIEOFF TIEOFF_X41Y142
CLEXM
CLEXM_X23Y71
SLICEM SLICE_X38Y71
SLICEX SLICE_X39Y71
INT
INT_X24Y71
TIEOFF TIEOFF_X43Y142
CLEXL
CLEXL_X24Y71
SLICEL SLICE_X40Y71
SLICEX SLICE_X41Y71
INT_BRAM
INT_BRAM_X25Y71
TIEOFF TIEOFF_X45Y142
INT_INTERFACE
INT_INTERFACE_X25Y71
NULL
NULL_X60Y78
INT
INT_X26Y71
TIEOFF TIEOFF_X46Y142
CLEXL
CLEXL_X26Y71
SLICEL SLICE_X42Y71
SLICEX SLICE_X43Y71
INT
INT_X27Y71
TIEOFF TIEOFF_X48Y142
CLEXM
CLEXM_X27Y71
SLICEM SLICE_X44Y71
SLICEX SLICE_X45Y71
INT
INT_X28Y71
TIEOFF TIEOFF_X50Y142
CLEXL
CLEXL_X28Y71
SLICEL SLICE_X46Y71
SLICEX SLICE_X47Y71
INT
INT_X29Y71
TIEOFF TIEOFF_X51Y142
CLEXM
CLEXM_X29Y71
SLICEM SLICE_X48Y71
SLICEX SLICE_X49Y71
INT
INT_X30Y71
TIEOFF TIEOFF_X53Y142
CLEXL
CLEXL_X30Y71
SLICEL SLICE_X50Y71
SLICEX SLICE_X51Y71
INT
INT_X31Y71
TIEOFF TIEOFF_X55Y142
INT_INTERFACE
INT_INTERFACE_X31Y71
NULL
NULL_X73Y78
INT
INT_X32Y71
TIEOFF TIEOFF_X56Y142
CLEXM
CLEXM_X32Y71
SLICEM SLICE_X52Y71
SLICEX SLICE_X53Y71
INT
INT_X33Y71
TIEOFF TIEOFF_X58Y142
CLEXL
CLEXL_X33Y71
SLICEL SLICE_X54Y71
SLICEX SLICE_X55Y71
INT_BRAM
INT_BRAM_X34Y71
TIEOFF TIEOFF_X60Y142
INT_INTERFACE
INT_INTERFACE_X34Y71
NULL
NULL_X80Y78
INT
INT_X35Y71
TIEOFF TIEOFF_X61Y142
CLEXM
CLEXM_X35Y71
SLICEM SLICE_X56Y71
SLICEX SLICE_X57Y71
INT
INT_X36Y71
TIEOFF TIEOFF_X63Y142
CLEXL
CLEXL_X36Y71
SLICEL SLICE_X58Y71
SLICEX SLICE_X59Y71
IOI_INT
IOI_INT_X37Y71
TIEOFF TIEOFF_X65Y142
RIOI
RIOI_X37Y71
OLOGIC2 OLOGIC_X27Y70
IODELAY2 IODELAY_X27Y70
ILOGIC2 ILOGIC_X27Y70
OLOGIC2 OLOGIC_X27Y71
IODELAY2 IODELAY_X27Y71
ILOGIC2 ILOGIC_X27Y71
TIEOFF TIEOFF_X66Y142
MCB_INT
MCB_INT_X37Y71
IOI_RTERM
IOI_RTERM_X88Y78
RIOB
RIOB_X37Y71
IOBS L17
IOBM K17
LIOB
LIOB_X0Y70
IOBM K2
IOBS K1
IOI_LTERM
IOI_LTERM_X1Y77
LIOI_INT
LIOI_INT_X0Y70
TIEOFF TIEOFF_X0Y140
LIOI
LIOI_X0Y70
OLOGIC2 OLOGIC_X0Y68
IODELAY2 IODELAY_X0Y68
ILOGIC2 ILOGIC_X0Y68
OLOGIC2 OLOGIC_X0Y69
IODELAY2 IODELAY_X0Y69
ILOGIC2 ILOGIC_X0Y69
TIEOFF TIEOFF_X1Y140
MCB_INT
MCB_INT_X0Y70
INT
INT_X1Y70
TIEOFF TIEOFF_X2Y140
CLEXL
CLEXL_X1Y70
SLICEL SLICE_X0Y70
SLICEX SLICE_X1Y70
INT
INT_X2Y70
TIEOFF TIEOFF_X4Y140
CLEXM
CLEXM_X2Y70
SLICEM SLICE_X2Y70
SLICEX SLICE_X3Y70
INT_BRAM
INT_BRAM_X3Y70
TIEOFF TIEOFF_X6Y140
INT_INTERFACE
INT_INTERFACE_X3Y70
NULL
NULL_X11Y77
INT
INT_X4Y70
TIEOFF TIEOFF_X7Y140
CLEXL
CLEXL_X4Y70
SLICEL SLICE_X4Y70
SLICEX SLICE_X5Y70
INT
INT_X5Y70
TIEOFF TIEOFF_X9Y140
CLEXM
CLEXM_X5Y70
SLICEM SLICE_X6Y70
SLICEX SLICE_X7Y70
INT
INT_X6Y70
TIEOFF TIEOFF_X11Y140
INT_INTERFACE
INT_INTERFACE_X6Y70
NULL
NULL_X18Y77
INT
INT_X7Y70
TIEOFF TIEOFF_X12Y140
CLEXL
CLEXL_X7Y70
SLICEL SLICE_X8Y70
SLICEX SLICE_X9Y70
INT
INT_X8Y70
TIEOFF TIEOFF_X14Y140
CLEXM
CLEXM_X8Y70
SLICEM SLICE_X10Y70
SLICEX SLICE_X11Y70
INT
INT_X9Y70
TIEOFF TIEOFF_X16Y140
CLEXL
CLEXL_X9Y70
SLICEL SLICE_X12Y70
SLICEX SLICE_X13Y70
INT
INT_X10Y70
TIEOFF TIEOFF_X17Y140
CLEXM
CLEXM_X10Y70
SLICEM SLICE_X14Y70
SLICEX SLICE_X15Y70
INT
INT_X11Y70
TIEOFF TIEOFF_X19Y140
CLEXL
CLEXL_X11Y70
SLICEL SLICE_X16Y70
SLICEX SLICE_X17Y70
INT_BRAM
INT_BRAM_X12Y70
TIEOFF TIEOFF_X21Y140
INT_INTERFACE
INT_INTERFACE_X12Y70
NULL
NULL_X31Y77
INT
INT_X13Y70
TIEOFF TIEOFF_X22Y140
CLEXM
CLEXM_X13Y70
SLICEM SLICE_X18Y70
SLICEX SLICE_X19Y70
INT
INT_X14Y70
TIEOFF TIEOFF_X24Y140
CLEXL
CLEXL_X14Y70
SLICEL SLICE_X20Y70
SLICEX SLICE_X21Y70
INT
INT_X15Y70
TIEOFF TIEOFF_X26Y140
CLEXM
CLEXM_X15Y70
SLICEM SLICE_X22Y70
SLICEX SLICE_X23Y70
INT
INT_X16Y70
TIEOFF TIEOFF_X28Y140
CLEXL
CLEXL_X16Y70
SLICEL SLICE_X24Y70
SLICEX SLICE_X25Y70
INT
INT_X17Y70
TIEOFF TIEOFF_X29Y140
CLEXM
CLEXM_X17Y70
SLICEM SLICE_X26Y70
SLICEX SLICE_X27Y70
INT
INT_X18Y70
TIEOFF TIEOFF_X31Y140
CLEXL
CLEXL_X18Y70
SLICEL SLICE_X28Y70
SLICEX SLICE_X29Y70
NULL
NULL_X44Y77
REG_V
REG_V_X18Y70
INT
INT_X19Y70
TIEOFF TIEOFF_X34Y140
CLEXM
CLEXM_X19Y70
SLICEM SLICE_X30Y70
SLICEX SLICE_X31Y70
INT
INT_X20Y70
TIEOFF TIEOFF_X36Y140
CLEXL
CLEXL_X20Y70
SLICEL SLICE_X32Y70
SLICEX SLICE_X33Y70
INT
INT_X21Y70
TIEOFF TIEOFF_X38Y140
CLEXM
CLEXM_X21Y70
SLICEM SLICE_X34Y70
SLICEX SLICE_X35Y70
INT
INT_X22Y70
TIEOFF TIEOFF_X39Y140
CLEXL
CLEXL_X22Y70
SLICEL SLICE_X36Y70
SLICEX SLICE_X37Y70
INT
INT_X23Y70
TIEOFF TIEOFF_X41Y140
CLEXM
CLEXM_X23Y70
SLICEM SLICE_X38Y70
SLICEX SLICE_X39Y70
INT
INT_X24Y70
TIEOFF TIEOFF_X43Y140
CLEXL
CLEXL_X24Y70
SLICEL SLICE_X40Y70
SLICEX SLICE_X41Y70
INT_BRAM
INT_BRAM_X25Y70
TIEOFF TIEOFF_X45Y140
INT_INTERFACE
INT_INTERFACE_X25Y70
NULL
NULL_X60Y77
INT
INT_X26Y70
TIEOFF TIEOFF_X46Y140
CLEXL
CLEXL_X26Y70
SLICEL SLICE_X42Y70
SLICEX SLICE_X43Y70
INT
INT_X27Y70
TIEOFF TIEOFF_X48Y140
CLEXM
CLEXM_X27Y70
SLICEM SLICE_X44Y70
SLICEX SLICE_X45Y70
INT
INT_X28Y70
TIEOFF TIEOFF_X50Y140
CLEXL
CLEXL_X28Y70
SLICEL SLICE_X46Y70
SLICEX SLICE_X47Y70
INT
INT_X29Y70
TIEOFF TIEOFF_X51Y140
CLEXM
CLEXM_X29Y70
SLICEM SLICE_X48Y70
SLICEX SLICE_X49Y70
INT
INT_X30Y70
TIEOFF TIEOFF_X53Y140
CLEXL
CLEXL_X30Y70
SLICEL SLICE_X50Y70
SLICEX SLICE_X51Y70
INT
INT_X31Y70
TIEOFF TIEOFF_X55Y140
INT_INTERFACE
INT_INTERFACE_X31Y70
NULL
NULL_X73Y77
INT
INT_X32Y70
TIEOFF TIEOFF_X56Y140
CLEXM
CLEXM_X32Y70
SLICEM SLICE_X52Y70
SLICEX SLICE_X53Y70
INT
INT_X33Y70
TIEOFF TIEOFF_X58Y140
CLEXL
CLEXL_X33Y70
SLICEL SLICE_X54Y70
SLICEX SLICE_X55Y70
INT_BRAM
INT_BRAM_X34Y70
TIEOFF TIEOFF_X60Y140
INT_INTERFACE
INT_INTERFACE_X34Y70
NULL
NULL_X80Y77
INT
INT_X35Y70
TIEOFF TIEOFF_X61Y140
CLEXM
CLEXM_X35Y70
SLICEM SLICE_X56Y70
SLICEX SLICE_X57Y70
INT
INT_X36Y70
TIEOFF TIEOFF_X63Y140
CLEXL
CLEXL_X36Y70
SLICEL SLICE_X58Y70
SLICEX SLICE_X59Y70
IOI_INT
IOI_INT_X37Y70
TIEOFF TIEOFF_X65Y140
RIOI
RIOI_X37Y70
OLOGIC2 OLOGIC_X27Y68
IODELAY2 IODELAY_X27Y68
ILOGIC2 ILOGIC_X27Y68
OLOGIC2 OLOGIC_X27Y69
IODELAY2 IODELAY_X27Y69
ILOGIC2 ILOGIC_X27Y69
TIEOFF TIEOFF_X66Y140
MCB_INT
MCB_INT_X37Y70
IOI_RTERM
IOI_RTERM_X88Y77
RIOB
RIOB_X37Y70
IOBS H22
IOBM H21
LIOB
LIOB_X0Y69
IOBM K4
IOBS K3
IOI_LTERM
IOI_LTERM_X1Y76
LIOI_INT
LIOI_INT_X0Y69
TIEOFF TIEOFF_X0Y138
LIOI
LIOI_X0Y69
OLOGIC2 OLOGIC_X0Y66
IODELAY2 IODELAY_X0Y66
ILOGIC2 ILOGIC_X0Y66
OLOGIC2 OLOGIC_X0Y67
IODELAY2 IODELAY_X0Y67
ILOGIC2 ILOGIC_X0Y67
TIEOFF TIEOFF_X1Y138
MCB_CAP_CLKPN
MCB_CAP_CLKPN_X0Y69
TIEOFF TIEOFF_X1Y139
INT
INT_X1Y69
TIEOFF TIEOFF_X2Y138
CLEXL
CLEXL_X1Y69
SLICEL SLICE_X0Y69
SLICEX SLICE_X1Y69
INT
INT_X2Y69
TIEOFF TIEOFF_X4Y138
CLEXM
CLEXM_X2Y69
SLICEM SLICE_X2Y69
SLICEX SLICE_X3Y69
INT_BRAM
INT_BRAM_X3Y69
TIEOFF TIEOFF_X6Y138
INT_INTERFACE
INT_INTERFACE_X3Y69
NULL
NULL_X11Y76
INT
INT_X4Y69
TIEOFF TIEOFF_X7Y138
CLEXL
CLEXL_X4Y69
SLICEL SLICE_X4Y69
SLICEX SLICE_X5Y69
INT
INT_X5Y69
TIEOFF TIEOFF_X9Y138
CLEXM
CLEXM_X5Y69
SLICEM SLICE_X6Y69
SLICEX SLICE_X7Y69
INT
INT_X6Y69
TIEOFF TIEOFF_X11Y138
INT_INTERFACE
INT_INTERFACE_X6Y69
NULL
NULL_X18Y76
INT
INT_X7Y69
TIEOFF TIEOFF_X12Y138
CLEXL
CLEXL_X7Y69
SLICEL SLICE_X8Y69
SLICEX SLICE_X9Y69
INT
INT_X8Y69
TIEOFF TIEOFF_X14Y138
CLEXM
CLEXM_X8Y69
SLICEM SLICE_X10Y69
SLICEX SLICE_X11Y69
INT
INT_X9Y69
TIEOFF TIEOFF_X16Y138
CLEXL
CLEXL_X9Y69
SLICEL SLICE_X12Y69
SLICEX SLICE_X13Y69
INT
INT_X10Y69
TIEOFF TIEOFF_X17Y138
CLEXM
CLEXM_X10Y69
SLICEM SLICE_X14Y69
SLICEX SLICE_X15Y69
INT
INT_X11Y69
TIEOFF TIEOFF_X19Y138
CLEXL
CLEXL_X11Y69
SLICEL SLICE_X16Y69
SLICEX SLICE_X17Y69
INT_BRAM
INT_BRAM_X12Y69
TIEOFF TIEOFF_X21Y138
INT_INTERFACE
INT_INTERFACE_X12Y69
NULL
NULL_X31Y76
INT
INT_X13Y69
TIEOFF TIEOFF_X22Y138
CLEXM
CLEXM_X13Y69
SLICEM SLICE_X18Y69
SLICEX SLICE_X19Y69
INT
INT_X14Y69
TIEOFF TIEOFF_X24Y138
CLEXL
CLEXL_X14Y69
SLICEL SLICE_X20Y69
SLICEX SLICE_X21Y69
INT
INT_X15Y69
TIEOFF TIEOFF_X26Y138
CLEXM
CLEXM_X15Y69
SLICEM SLICE_X22Y69
SLICEX SLICE_X23Y69
INT
INT_X16Y69
TIEOFF TIEOFF_X28Y138
CLEXL
CLEXL_X16Y69
SLICEL SLICE_X24Y69
SLICEX SLICE_X25Y69
INT
INT_X17Y69
TIEOFF TIEOFF_X29Y138
CLEXM
CLEXM_X17Y69
SLICEM SLICE_X26Y69
SLICEX SLICE_X27Y69
INT
INT_X18Y69
TIEOFF TIEOFF_X31Y138
CLEXL
CLEXL_X18Y69
SLICEL SLICE_X28Y69
SLICEX SLICE_X29Y69
NULL
NULL_X44Y76
REG_V
REG_V_X18Y69
INT
INT_X19Y69
TIEOFF TIEOFF_X34Y138
CLEXM
CLEXM_X19Y69
SLICEM SLICE_X30Y69
SLICEX SLICE_X31Y69
INT
INT_X20Y69
TIEOFF TIEOFF_X36Y138
CLEXL
CLEXL_X20Y69
SLICEL SLICE_X32Y69
SLICEX SLICE_X33Y69
INT
INT_X21Y69
TIEOFF TIEOFF_X38Y138
CLEXM
CLEXM_X21Y69
SLICEM SLICE_X34Y69
SLICEX SLICE_X35Y69
INT
INT_X22Y69
TIEOFF TIEOFF_X39Y138
CLEXL
CLEXL_X22Y69
SLICEL SLICE_X36Y69
SLICEX SLICE_X37Y69
INT
INT_X23Y69
TIEOFF TIEOFF_X41Y138
CLEXM
CLEXM_X23Y69
SLICEM SLICE_X38Y69
SLICEX SLICE_X39Y69
INT
INT_X24Y69
TIEOFF TIEOFF_X43Y138
CLEXL
CLEXL_X24Y69
SLICEL SLICE_X40Y69
SLICEX SLICE_X41Y69
INT_BRAM
INT_BRAM_X25Y69
TIEOFF TIEOFF_X45Y138
INT_INTERFACE
INT_INTERFACE_X25Y69
NULL
NULL_X60Y76
INT
INT_X26Y69
TIEOFF TIEOFF_X46Y138
CLEXL
CLEXL_X26Y69
SLICEL SLICE_X42Y69
SLICEX SLICE_X43Y69
INT
INT_X27Y69
TIEOFF TIEOFF_X48Y138
CLEXM
CLEXM_X27Y69
SLICEM SLICE_X44Y69
SLICEX SLICE_X45Y69
INT
INT_X28Y69
TIEOFF TIEOFF_X50Y138
CLEXL
CLEXL_X28Y69
SLICEL SLICE_X46Y69
SLICEX SLICE_X47Y69
INT
INT_X29Y69
TIEOFF TIEOFF_X51Y138
CLEXM
CLEXM_X29Y69
SLICEM SLICE_X48Y69
SLICEX SLICE_X49Y69
INT
INT_X30Y69
TIEOFF TIEOFF_X53Y138
CLEXL
CLEXL_X30Y69
SLICEL SLICE_X50Y69
SLICEX SLICE_X51Y69
INT
INT_X31Y69
TIEOFF TIEOFF_X55Y138
INT_INTERFACE
INT_INTERFACE_X31Y69
NULL
NULL_X73Y76
INT
INT_X32Y69
TIEOFF TIEOFF_X56Y138
CLEXM
CLEXM_X32Y69
SLICEM SLICE_X52Y69
SLICEX SLICE_X53Y69
INT
INT_X33Y69
TIEOFF TIEOFF_X58Y138
CLEXL
CLEXL_X33Y69
SLICEL SLICE_X54Y69
SLICEX SLICE_X55Y69
INT_BRAM
INT_BRAM_X34Y69
TIEOFF TIEOFF_X60Y138
INT_INTERFACE
INT_INTERFACE_X34Y69
NULL
NULL_X80Y76
INT
INT_X35Y69
TIEOFF TIEOFF_X61Y138
CLEXM
CLEXM_X35Y69
SLICEM SLICE_X56Y69
SLICEX SLICE_X57Y69
INT
INT_X36Y69
TIEOFF TIEOFF_X63Y138
CLEXL
CLEXL_X36Y69
SLICEL SLICE_X58Y69
SLICEX SLICE_X59Y69
IOI_INT
IOI_INT_X37Y69
TIEOFF TIEOFF_X65Y138
RIOI
RIOI_X37Y69
OLOGIC2 OLOGIC_X27Y66
IODELAY2 IODELAY_X27Y66
ILOGIC2 ILOGIC_X27Y66
OLOGIC2 OLOGIC_X27Y67
IODELAY2 IODELAY_X27Y67
ILOGIC2 ILOGIC_X27Y67
TIEOFF TIEOFF_X66Y138
MCB_CAP_CLKPN
MCB_CAP_CLKPN_X37Y69
TIEOFF TIEOFF_X66Y139
IOI_RTERM
IOI_RTERM_X88Y76
RIOB
RIOB_X37Y69
IOBS L19
IOBM K20
LIOB
LIOB_X0Y68
IOBM M6
IOBS L6
IOI_LTERM
IOI_LTERM_X1Y75
LIOI_INT
LIOI_INT_X0Y68
TIEOFF TIEOFF_X0Y136
LIOI
LIOI_X0Y68
OLOGIC2 OLOGIC_X0Y64
IODELAY2 IODELAY_X0Y64
ILOGIC2 ILOGIC_X0Y64
OLOGIC2 OLOGIC_X0Y65
IODELAY2 IODELAY_X0Y65
ILOGIC2 ILOGIC_X0Y65
TIEOFF TIEOFF_X1Y136
MCB_INT
MCB_INT_X0Y68
INT
INT_X1Y68
TIEOFF TIEOFF_X2Y136
CLEXL
CLEXL_X1Y68
SLICEL SLICE_X0Y68
SLICEX SLICE_X1Y68
INT
INT_X2Y68
TIEOFF TIEOFF_X4Y136
CLEXM
CLEXM_X2Y68
SLICEM SLICE_X2Y68
SLICEX SLICE_X3Y68
INT_BRAM
INT_BRAM_X3Y68
TIEOFF TIEOFF_X6Y136
INT_INTERFACE
INT_INTERFACE_X3Y68
BRAMSITE2
BRAMSITE2_X3Y68
RAMB16BWER RAMB16_X0Y34
RAMB8BWER RAMB8_X0Y34
RAMB8BWER RAMB8_X0Y35
INT
INT_X4Y68
TIEOFF TIEOFF_X7Y136
CLEXL
CLEXL_X4Y68
SLICEL SLICE_X4Y68
SLICEX SLICE_X5Y68
INT
INT_X5Y68
TIEOFF TIEOFF_X9Y136
CLEXM
CLEXM_X5Y68
SLICEM SLICE_X6Y68
SLICEX SLICE_X7Y68
INT
INT_X6Y68
TIEOFF TIEOFF_X11Y136
INT_INTERFACE
INT_INTERFACE_X6Y68
MACCSITE2
MACCSITE2_X6Y68
DSP48A1 DSP48_X0Y17
INT
INT_X7Y68
TIEOFF TIEOFF_X12Y136
CLEXL
CLEXL_X7Y68
SLICEL SLICE_X8Y68
SLICEX SLICE_X9Y68
INT
INT_X8Y68
TIEOFF TIEOFF_X14Y136
CLEXM
CLEXM_X8Y68
SLICEM SLICE_X10Y68
SLICEX SLICE_X11Y68
INT
INT_X9Y68
TIEOFF TIEOFF_X16Y136
CLEXL
CLEXL_X9Y68
SLICEL SLICE_X12Y68
SLICEX SLICE_X13Y68
INT
INT_X10Y68
TIEOFF TIEOFF_X17Y136
CLEXM
CLEXM_X10Y68
SLICEM SLICE_X14Y68
SLICEX SLICE_X15Y68
INT
INT_X11Y68
TIEOFF TIEOFF_X19Y136
CLEXL
CLEXL_X11Y68
SLICEL SLICE_X16Y68
SLICEX SLICE_X17Y68
INT_BRAM
INT_BRAM_X12Y68
TIEOFF TIEOFF_X21Y136
INT_INTERFACE
INT_INTERFACE_X12Y68
BRAMSITE2
BRAMSITE2_X12Y68
RAMB16BWER RAMB16_X1Y34
RAMB8BWER RAMB8_X1Y34
RAMB8BWER RAMB8_X1Y35
INT
INT_X13Y68
TIEOFF TIEOFF_X22Y136
CLEXM
CLEXM_X13Y68
SLICEM SLICE_X18Y68
SLICEX SLICE_X19Y68
INT
INT_X14Y68
TIEOFF TIEOFF_X24Y136
CLEXL
CLEXL_X14Y68
SLICEL SLICE_X20Y68
SLICEX SLICE_X21Y68
INT
INT_X15Y68
TIEOFF TIEOFF_X26Y136
CLEXM
CLEXM_X15Y68
SLICEM SLICE_X22Y68
SLICEX SLICE_X23Y68
INT
INT_X16Y68
TIEOFF TIEOFF_X28Y136
CLEXL
CLEXL_X16Y68
SLICEL SLICE_X24Y68
SLICEX SLICE_X25Y68
INT
INT_X17Y68
TIEOFF TIEOFF_X29Y136
CLEXM
CLEXM_X17Y68
SLICEM SLICE_X26Y68
SLICEX SLICE_X27Y68
INT
INT_X18Y68
TIEOFF TIEOFF_X31Y136
CLEXL
CLEXL_X18Y68
SLICEL SLICE_X28Y68
SLICEX SLICE_X29Y68
NULL
NULL_X44Y75
REG_V
REG_V_X18Y68
INT
INT_X19Y68
TIEOFF TIEOFF_X34Y136
CLEXM
CLEXM_X19Y68
SLICEM SLICE_X30Y68
SLICEX SLICE_X31Y68
INT
INT_X20Y68
TIEOFF TIEOFF_X36Y136
CLEXL
CLEXL_X20Y68
SLICEL SLICE_X32Y68
SLICEX SLICE_X33Y68
INT
INT_X21Y68
TIEOFF TIEOFF_X38Y136
CLEXM
CLEXM_X21Y68
SLICEM SLICE_X34Y68
SLICEX SLICE_X35Y68
INT
INT_X22Y68
TIEOFF TIEOFF_X39Y136
CLEXL
CLEXL_X22Y68
SLICEL SLICE_X36Y68
SLICEX SLICE_X37Y68
INT
INT_X23Y68
TIEOFF TIEOFF_X41Y136
CLEXM
CLEXM_X23Y68
SLICEM SLICE_X38Y68
SLICEX SLICE_X39Y68
INT
INT_X24Y68
TIEOFF TIEOFF_X43Y136
CLEXL
CLEXL_X24Y68
SLICEL SLICE_X40Y68
SLICEX SLICE_X41Y68
INT_BRAM
INT_BRAM_X25Y68
TIEOFF TIEOFF_X45Y136
INT_INTERFACE
INT_INTERFACE_X25Y68
BRAMSITE2
BRAMSITE2_X25Y68
RAMB16BWER RAMB16_X2Y34
RAMB8BWER RAMB8_X2Y34
RAMB8BWER RAMB8_X2Y35
INT
INT_X26Y68
TIEOFF TIEOFF_X46Y136
CLEXL
CLEXL_X26Y68
SLICEL SLICE_X42Y68
SLICEX SLICE_X43Y68
INT
INT_X27Y68
TIEOFF TIEOFF_X48Y136
CLEXM
CLEXM_X27Y68
SLICEM SLICE_X44Y68
SLICEX SLICE_X45Y68
INT
INT_X28Y68
TIEOFF TIEOFF_X50Y136
CLEXL
CLEXL_X28Y68
SLICEL SLICE_X46Y68
SLICEX SLICE_X47Y68
INT
INT_X29Y68
TIEOFF TIEOFF_X51Y136
CLEXM
CLEXM_X29Y68
SLICEM SLICE_X48Y68
SLICEX SLICE_X49Y68
INT
INT_X30Y68
TIEOFF TIEOFF_X53Y136
CLEXL
CLEXL_X30Y68
SLICEL SLICE_X50Y68
SLICEX SLICE_X51Y68
INT
INT_X31Y68
TIEOFF TIEOFF_X55Y136
INT_INTERFACE
INT_INTERFACE_X31Y68
MACCSITE2
MACCSITE2_X31Y68
DSP48A1 DSP48_X1Y17
INT
INT_X32Y68
TIEOFF TIEOFF_X56Y136
CLEXM
CLEXM_X32Y68
SLICEM SLICE_X52Y68
SLICEX SLICE_X53Y68
INT
INT_X33Y68
TIEOFF TIEOFF_X58Y136
CLEXL
CLEXL_X33Y68
SLICEL SLICE_X54Y68
SLICEX SLICE_X55Y68
INT_BRAM
INT_BRAM_X34Y68
TIEOFF TIEOFF_X60Y136
INT_INTERFACE
INT_INTERFACE_X34Y68
BRAMSITE2
BRAMSITE2_X34Y68
RAMB16BWER RAMB16_X3Y34
RAMB8BWER RAMB8_X3Y34
RAMB8BWER RAMB8_X3Y35
INT
INT_X35Y68
TIEOFF TIEOFF_X61Y136
CLEXM
CLEXM_X35Y68
SLICEM SLICE_X56Y68
SLICEX SLICE_X57Y68
INT
INT_X36Y68
TIEOFF TIEOFF_X63Y136
CLEXL
CLEXL_X36Y68
SLICEL SLICE_X58Y68
SLICEX SLICE_X59Y68
IOI_INT
IOI_INT_X37Y68
TIEOFF TIEOFF_X65Y136
RIOI
RIOI_X37Y68
OLOGIC2 OLOGIC_X27Y64
IODELAY2 IODELAY_X27Y64
ILOGIC2 ILOGIC_X27Y64
OLOGIC2 OLOGIC_X27Y65
IODELAY2 IODELAY_X27Y65
ILOGIC2 ILOGIC_X27Y65
TIEOFF TIEOFF_X66Y136
MCB_INT
MCB_INT_X37Y68
IOI_RTERM
IOI_RTERM_X88Y75
RIOB
RIOB_X37Y68
IOBS J22
IOBM J20
LIOB
LIOB_X0Y67
IOBM M3
IOBS L4
IOI_LTERM_UPPER_TOP
IOI_LTERM_UPPER_TOP_X1Y74
LIOI_INT
LIOI_INT_X0Y67
TIEOFF TIEOFF_X0Y134
LIOI
LIOI_X0Y67
OLOGIC2 OLOGIC_X0Y62
IODELAY2 IODELAY_X0Y62
ILOGIC2 ILOGIC_X0Y62
OLOGIC2 OLOGIC_X0Y63
IODELAY2 IODELAY_X0Y63
ILOGIC2 ILOGIC_X0Y63
TIEOFF TIEOFF_X1Y134
MCB_INT
MCB_INT_X0Y67
INT
INT_X1Y67
TIEOFF TIEOFF_X2Y134
CLEXL
CLEXL_X1Y67
SLICEL SLICE_X0Y67
SLICEX SLICE_X1Y67
INT
INT_X2Y67
TIEOFF TIEOFF_X4Y134
CLEXM
CLEXM_X2Y67
SLICEM SLICE_X2Y67
SLICEX SLICE_X3Y67
INT_BRAM
INT_BRAM_X3Y67
TIEOFF TIEOFF_X6Y134
INT_INTERFACE
INT_INTERFACE_X3Y67
NULL
NULL_X11Y74
INT
INT_X4Y67
TIEOFF TIEOFF_X7Y134
CLEXL
CLEXL_X4Y67
SLICEL SLICE_X4Y67
SLICEX SLICE_X5Y67
INT
INT_X5Y67
TIEOFF TIEOFF_X9Y134
CLEXM
CLEXM_X5Y67
SLICEM SLICE_X6Y67
SLICEX SLICE_X7Y67
INT
INT_X6Y67
TIEOFF TIEOFF_X11Y134
INT_INTERFACE
INT_INTERFACE_X6Y67
NULL
NULL_X18Y74
INT
INT_X7Y67
TIEOFF TIEOFF_X12Y134
CLEXL
CLEXL_X7Y67
SLICEL SLICE_X8Y67
SLICEX SLICE_X9Y67
INT
INT_X8Y67
TIEOFF TIEOFF_X14Y134
CLEXM
CLEXM_X8Y67
SLICEM SLICE_X10Y67
SLICEX SLICE_X11Y67
INT
INT_X9Y67
TIEOFF TIEOFF_X16Y134
CLEXL
CLEXL_X9Y67
SLICEL SLICE_X12Y67
SLICEX SLICE_X13Y67
INT
INT_X10Y67
TIEOFF TIEOFF_X17Y134
CLEXM
CLEXM_X10Y67
SLICEM SLICE_X14Y67
SLICEX SLICE_X15Y67
INT
INT_X11Y67
TIEOFF TIEOFF_X19Y134
CLEXL
CLEXL_X11Y67
SLICEL SLICE_X16Y67
SLICEX SLICE_X17Y67
INT_BRAM
INT_BRAM_X12Y67
TIEOFF TIEOFF_X21Y134
INT_INTERFACE
INT_INTERFACE_X12Y67
NULL
NULL_X31Y74
INT
INT_X13Y67
TIEOFF TIEOFF_X22Y134
CLEXM
CLEXM_X13Y67
SLICEM SLICE_X18Y67
SLICEX SLICE_X19Y67
INT
INT_X14Y67
TIEOFF TIEOFF_X24Y134
CLEXL
CLEXL_X14Y67
SLICEL SLICE_X20Y67
SLICEX SLICE_X21Y67
INT
INT_X15Y67
TIEOFF TIEOFF_X26Y134
CLEXM
CLEXM_X15Y67
SLICEM SLICE_X22Y67
SLICEX SLICE_X23Y67
INT
INT_X16Y67
TIEOFF TIEOFF_X28Y134
CLEXL
CLEXL_X16Y67
SLICEL SLICE_X24Y67
SLICEX SLICE_X25Y67
INT
INT_X17Y67
TIEOFF TIEOFF_X29Y134
CLEXM
CLEXM_X17Y67
SLICEM SLICE_X26Y67
SLICEX SLICE_X27Y67
INT
INT_X18Y67
TIEOFF TIEOFF_X31Y134
CLEXL
CLEXL_X18Y67
SLICEL SLICE_X28Y67
SLICEX SLICE_X29Y67
NULL
NULL_X44Y74
REG_V
REG_V_X18Y67
INT
INT_X19Y67
TIEOFF TIEOFF_X34Y134
CLEXM
CLEXM_X19Y67
SLICEM SLICE_X30Y67
SLICEX SLICE_X31Y67
INT
INT_X20Y67
TIEOFF TIEOFF_X36Y134
CLEXL
CLEXL_X20Y67
SLICEL SLICE_X32Y67
SLICEX SLICE_X33Y67
INT
INT_X21Y67
TIEOFF TIEOFF_X38Y134
CLEXM
CLEXM_X21Y67
SLICEM SLICE_X34Y67
SLICEX SLICE_X35Y67
INT
INT_X22Y67
TIEOFF TIEOFF_X39Y134
CLEXL
CLEXL_X22Y67
SLICEL SLICE_X36Y67
SLICEX SLICE_X37Y67
INT
INT_X23Y67
TIEOFF TIEOFF_X41Y134
CLEXM
CLEXM_X23Y67
SLICEM SLICE_X38Y67
SLICEX SLICE_X39Y67
INT
INT_X24Y67
TIEOFF TIEOFF_X43Y134
CLEXL
CLEXL_X24Y67
SLICEL SLICE_X40Y67
SLICEX SLICE_X41Y67
INT_BRAM
INT_BRAM_X25Y67
TIEOFF TIEOFF_X45Y134
INT_INTERFACE
INT_INTERFACE_X25Y67
NULL
NULL_X60Y74
INT
INT_X26Y67
TIEOFF TIEOFF_X46Y134
CLEXL
CLEXL_X26Y67
SLICEL SLICE_X42Y67
SLICEX SLICE_X43Y67
INT
INT_X27Y67
TIEOFF TIEOFF_X48Y134
CLEXM
CLEXM_X27Y67
SLICEM SLICE_X44Y67
SLICEX SLICE_X45Y67
INT
INT_X28Y67
TIEOFF TIEOFF_X50Y134
CLEXL
CLEXL_X28Y67
SLICEL SLICE_X46Y67
SLICEX SLICE_X47Y67
INT
INT_X29Y67
TIEOFF TIEOFF_X51Y134
CLEXM
CLEXM_X29Y67
SLICEM SLICE_X48Y67
SLICEX SLICE_X49Y67
INT
INT_X30Y67
TIEOFF TIEOFF_X53Y134
CLEXL
CLEXL_X30Y67
SLICEL SLICE_X50Y67
SLICEX SLICE_X51Y67
INT
INT_X31Y67
TIEOFF TIEOFF_X55Y134
INT_INTERFACE
INT_INTERFACE_X31Y67
NULL
NULL_X73Y74
INT
INT_X32Y67
TIEOFF TIEOFF_X56Y134
CLEXM
CLEXM_X32Y67
SLICEM SLICE_X52Y67
SLICEX SLICE_X53Y67
INT
INT_X33Y67
TIEOFF TIEOFF_X58Y134
CLEXL
CLEXL_X33Y67
SLICEL SLICE_X54Y67
SLICEX SLICE_X55Y67
INT_BRAM
INT_BRAM_X34Y67
TIEOFF TIEOFF_X60Y134
INT_INTERFACE
INT_INTERFACE_X34Y67
NULL
NULL_X80Y74
INT
INT_X35Y67
TIEOFF TIEOFF_X61Y134
CLEXM
CLEXM_X35Y67
SLICEM SLICE_X56Y67
SLICEX SLICE_X57Y67
INT
INT_X36Y67
TIEOFF TIEOFF_X63Y134
CLEXL
CLEXL_X36Y67
SLICEL SLICE_X58Y67
SLICEX SLICE_X59Y67
IOI_INT
IOI_INT_X37Y67
TIEOFF TIEOFF_X65Y134
RIOI
RIOI_X37Y67
OLOGIC2 OLOGIC_X27Y62
IODELAY2 IODELAY_X27Y62
ILOGIC2 ILOGIC_X27Y62
OLOGIC2 OLOGIC_X27Y63
IODELAY2 IODELAY_X27Y63
ILOGIC2 ILOGIC_X27Y63
TIEOFF TIEOFF_X66Y134
MCB_INT
MCB_INT_X37Y67
IOI_RTERM_UPPER_TOP
IOI_RTERM_UPPER_TOP_X88Y74
RIOB
RIOB_X37Y67
IOBS M19
IOBM M20
LIOB_PCI
LIOB_PCI_X0Y66
IOBM M5
IOBS M4
IOI_LTERM_UPPER_BOT
IOI_LTERM_UPPER_BOT_X1Y73
LIOI_INT
LIOI_INT_X0Y66
TIEOFF TIEOFF_X0Y132
LIOI
LIOI_X0Y66
OLOGIC2 OLOGIC_X0Y60
IODELAY2 IODELAY_X0Y60
ILOGIC2 ILOGIC_X0Y60
OLOGIC2 OLOGIC_X0Y61
IODELAY2 IODELAY_X0Y61
ILOGIC2 ILOGIC_X0Y61
TIEOFF TIEOFF_X1Y132
MCB_INT
MCB_INT_X0Y66
INT
INT_X1Y66
TIEOFF TIEOFF_X2Y132
CLEXL
CLEXL_X1Y66
SLICEL SLICE_X0Y66
SLICEX SLICE_X1Y66
INT
INT_X2Y66
TIEOFF TIEOFF_X4Y132
CLEXM
CLEXM_X2Y66
SLICEM SLICE_X2Y66
SLICEX SLICE_X3Y66
INT_BRAM
INT_BRAM_X3Y66
TIEOFF TIEOFF_X6Y132
INT_INTERFACE
INT_INTERFACE_X3Y66
NULL
NULL_X11Y73
INT
INT_X4Y66
TIEOFF TIEOFF_X7Y132
CLEXL
CLEXL_X4Y66
SLICEL SLICE_X4Y66
SLICEX SLICE_X5Y66
INT
INT_X5Y66
TIEOFF TIEOFF_X9Y132
CLEXM
CLEXM_X5Y66
SLICEM SLICE_X6Y66
SLICEX SLICE_X7Y66
INT
INT_X6Y66
TIEOFF TIEOFF_X11Y132
INT_INTERFACE
INT_INTERFACE_X6Y66
NULL
NULL_X18Y73
INT
INT_X7Y66
TIEOFF TIEOFF_X12Y132
CLEXL
CLEXL_X7Y66
SLICEL SLICE_X8Y66
SLICEX SLICE_X9Y66
INT
INT_X8Y66
TIEOFF TIEOFF_X14Y132
CLEXM
CLEXM_X8Y66
SLICEM SLICE_X10Y66
SLICEX SLICE_X11Y66
INT
INT_X9Y66
TIEOFF TIEOFF_X16Y132
CLEXL
CLEXL_X9Y66
SLICEL SLICE_X12Y66
SLICEX SLICE_X13Y66
INT
INT_X10Y66
TIEOFF TIEOFF_X17Y132
CLEXM
CLEXM_X10Y66
SLICEM SLICE_X14Y66
SLICEX SLICE_X15Y66
INT
INT_X11Y66
TIEOFF TIEOFF_X19Y132
CLEXL
CLEXL_X11Y66
SLICEL SLICE_X16Y66
SLICEX SLICE_X17Y66
INT_BRAM
INT_BRAM_X12Y66
TIEOFF TIEOFF_X21Y132
INT_INTERFACE
INT_INTERFACE_X12Y66
NULL
NULL_X31Y73
INT
INT_X13Y66
TIEOFF TIEOFF_X22Y132
CLEXM
CLEXM_X13Y66
SLICEM SLICE_X18Y66
SLICEX SLICE_X19Y66
INT
INT_X14Y66
TIEOFF TIEOFF_X24Y132
CLEXL
CLEXL_X14Y66
SLICEL SLICE_X20Y66
SLICEX SLICE_X21Y66
INT
INT_X15Y66
TIEOFF TIEOFF_X26Y132
CLEXM
CLEXM_X15Y66
SLICEM SLICE_X22Y66
SLICEX SLICE_X23Y66
INT
INT_X16Y66
TIEOFF TIEOFF_X28Y132
CLEXL
CLEXL_X16Y66
SLICEL SLICE_X24Y66
SLICEX SLICE_X25Y66
INT
INT_X17Y66
TIEOFF TIEOFF_X29Y132
CLEXM
CLEXM_X17Y66
SLICEM SLICE_X26Y66
SLICEX SLICE_X27Y66
INT
INT_X18Y66
TIEOFF TIEOFF_X31Y132
CLEXL
CLEXL_X18Y66
SLICEL SLICE_X28Y66
SLICEX SLICE_X29Y66
NULL
NULL_X44Y73
REG_V
REG_V_X18Y66
INT
INT_X19Y66
TIEOFF TIEOFF_X34Y132
CLEXM
CLEXM_X19Y66
SLICEM SLICE_X30Y66
SLICEX SLICE_X31Y66
INT
INT_X20Y66
TIEOFF TIEOFF_X36Y132
CLEXL
CLEXL_X20Y66
SLICEL SLICE_X32Y66
SLICEX SLICE_X33Y66
INT
INT_X21Y66
TIEOFF TIEOFF_X38Y132
CLEXM
CLEXM_X21Y66
SLICEM SLICE_X34Y66
SLICEX SLICE_X35Y66
INT
INT_X22Y66
TIEOFF TIEOFF_X39Y132
CLEXL
CLEXL_X22Y66
SLICEL SLICE_X36Y66
SLICEX SLICE_X37Y66
INT
INT_X23Y66
TIEOFF TIEOFF_X41Y132
CLEXM
CLEXM_X23Y66
SLICEM SLICE_X38Y66
SLICEX SLICE_X39Y66
INT
INT_X24Y66
TIEOFF TIEOFF_X43Y132
CLEXL
CLEXL_X24Y66
SLICEL SLICE_X40Y66
SLICEX SLICE_X41Y66
INT_BRAM
INT_BRAM_X25Y66
TIEOFF TIEOFF_X45Y132
INT_INTERFACE
INT_INTERFACE_X25Y66
NULL
NULL_X60Y73
INT
INT_X26Y66
TIEOFF TIEOFF_X46Y132
CLEXL
CLEXL_X26Y66
SLICEL SLICE_X42Y66
SLICEX SLICE_X43Y66
INT
INT_X27Y66
TIEOFF TIEOFF_X48Y132
CLEXM
CLEXM_X27Y66
SLICEM SLICE_X44Y66
SLICEX SLICE_X45Y66
INT
INT_X28Y66
TIEOFF TIEOFF_X50Y132
CLEXL
CLEXL_X28Y66
SLICEL SLICE_X46Y66
SLICEX SLICE_X47Y66
INT
INT_X29Y66
TIEOFF TIEOFF_X51Y132
CLEXM
CLEXM_X29Y66
SLICEM SLICE_X48Y66
SLICEX SLICE_X49Y66
INT
INT_X30Y66
TIEOFF TIEOFF_X53Y132
CLEXL
CLEXL_X30Y66
SLICEL SLICE_X50Y66
SLICEX SLICE_X51Y66
INT
INT_X31Y66
TIEOFF TIEOFF_X55Y132
INT_INTERFACE
INT_INTERFACE_X31Y66
NULL
NULL_X73Y73
INT
INT_X32Y66
TIEOFF TIEOFF_X56Y132
CLEXM
CLEXM_X32Y66
SLICEM SLICE_X52Y66
SLICEX SLICE_X53Y66
INT
INT_X33Y66
TIEOFF TIEOFF_X58Y132
CLEXL
CLEXL_X33Y66
SLICEL SLICE_X54Y66
SLICEX SLICE_X55Y66
INT_BRAM
INT_BRAM_X34Y66
TIEOFF TIEOFF_X60Y132
INT_INTERFACE
INT_INTERFACE_X34Y66
NULL
NULL_X80Y73
INT
INT_X35Y66
TIEOFF TIEOFF_X61Y132
CLEXM
CLEXM_X35Y66
SLICEM SLICE_X56Y66
SLICEX SLICE_X57Y66
INT
INT_X36Y66
TIEOFF TIEOFF_X63Y132
CLEXL
CLEXL_X36Y66
SLICEL SLICE_X58Y66
SLICEX SLICE_X59Y66
IOI_INT
IOI_INT_X37Y66
TIEOFF TIEOFF_X65Y132
RIOI
RIOI_X37Y66
OLOGIC2 OLOGIC_X27Y60
IODELAY2 IODELAY_X27Y60
ILOGIC2 ILOGIC_X27Y60
OLOGIC2 OLOGIC_X27Y61
IODELAY2 IODELAY_X27Y61
ILOGIC2 ILOGIC_X27Y61
TIEOFF TIEOFF_X66Y132
MCB_INT
MCB_INT_X37Y66
IOI_RTERM_UPPER_BOT
IOI_RTERM_UPPER_BOT_X88Y73
RIOB_RDY
RIOB_RDY_X37Y66
IOBS K22
IOBM K21
EMP_LIOB
EMP_LIOB_X0Y72
IOI_LTERM
IOI_LTERM_X1Y72
INT_GCLK
INT_X0Y65
TIEOFF TIEOFF_X0Y130
INT_INTERFACE
INT_INTERFACE_X0Y65
MCB_INT
MCB_INT_X0Y65
INT
INT_X1Y65
TIEOFF TIEOFF_X2Y130
CLEXL
CLEXL_X1Y65
SLICEL SLICE_X0Y65
SLICEX SLICE_X1Y65
INT
INT_X2Y65
TIEOFF TIEOFF_X4Y130
CLEXM
CLEXM_X2Y65
SLICEM SLICE_X2Y65
SLICEX SLICE_X3Y65
INT_BRAM
INT_BRAM_X3Y65
TIEOFF TIEOFF_X6Y130
INT_INTERFACE
INT_INTERFACE_X3Y65
NULL
NULL_X11Y72
INT
INT_X4Y65
TIEOFF TIEOFF_X7Y130
CLEXL
CLEXL_X4Y65
SLICEL SLICE_X4Y65
SLICEX SLICE_X5Y65
INT
INT_X5Y65
TIEOFF TIEOFF_X9Y130
CLEXM
CLEXM_X5Y65
SLICEM SLICE_X6Y65
SLICEX SLICE_X7Y65
INT
INT_X6Y65
TIEOFF TIEOFF_X11Y130
INT_INTERFACE
INT_INTERFACE_X6Y65
NULL
NULL_X18Y72
INT
INT_X7Y65
TIEOFF TIEOFF_X12Y130
CLEXL
CLEXL_X7Y65
SLICEL SLICE_X8Y65
SLICEX SLICE_X9Y65
INT
INT_X8Y65
TIEOFF TIEOFF_X14Y130
CLEXM
CLEXM_X8Y65
SLICEM SLICE_X10Y65
SLICEX SLICE_X11Y65
INT
INT_X9Y65
TIEOFF TIEOFF_X16Y130
CLEXL
CLEXL_X9Y65
SLICEL SLICE_X12Y65
SLICEX SLICE_X13Y65
INT
INT_X10Y65
TIEOFF TIEOFF_X17Y130
CLEXM
CLEXM_X10Y65
SLICEM SLICE_X14Y65
SLICEX SLICE_X15Y65
INT
INT_X11Y65
TIEOFF TIEOFF_X19Y130
CLEXL
CLEXL_X11Y65
SLICEL SLICE_X16Y65
SLICEX SLICE_X17Y65
INT_BRAM
INT_BRAM_X12Y65
TIEOFF TIEOFF_X21Y130
INT_INTERFACE
INT_INTERFACE_X12Y65
NULL
NULL_X31Y72
INT
INT_X13Y65
TIEOFF TIEOFF_X22Y130
CLEXM
CLEXM_X13Y65
SLICEM SLICE_X18Y65
SLICEX SLICE_X19Y65
INT
INT_X14Y65
TIEOFF TIEOFF_X24Y130
CLEXL
CLEXL_X14Y65
SLICEL SLICE_X20Y65
SLICEX SLICE_X21Y65
INT
INT_X15Y65
TIEOFF TIEOFF_X26Y130
CLEXM
CLEXM_X15Y65
SLICEM SLICE_X22Y65
SLICEX SLICE_X23Y65
INT
INT_X16Y65
TIEOFF TIEOFF_X28Y130
CLEXL
CLEXL_X16Y65
SLICEL SLICE_X24Y65
SLICEX SLICE_X25Y65
INT
INT_X17Y65
TIEOFF TIEOFF_X29Y130
CLEXM
CLEXM_X17Y65
SLICEM SLICE_X26Y65
SLICEX SLICE_X27Y65
INT
INT_X18Y65
TIEOFF TIEOFF_X31Y130
CLEXL
CLEXL_X18Y65
SLICEL SLICE_X28Y65
SLICEX SLICE_X29Y65
NULL
NULL_X44Y72
REG_V
REG_V_X18Y65
INT
INT_X19Y65
TIEOFF TIEOFF_X34Y130
CLEXM
CLEXM_X19Y65
SLICEM SLICE_X30Y65
SLICEX SLICE_X31Y65
INT
INT_X20Y65
TIEOFF TIEOFF_X36Y130
CLEXL
CLEXL_X20Y65
SLICEL SLICE_X32Y65
SLICEX SLICE_X33Y65
INT
INT_X21Y65
TIEOFF TIEOFF_X38Y130
CLEXM
CLEXM_X21Y65
SLICEM SLICE_X34Y65
SLICEX SLICE_X35Y65
INT
INT_X22Y65
TIEOFF TIEOFF_X39Y130
CLEXL
CLEXL_X22Y65
SLICEL SLICE_X36Y65
SLICEX SLICE_X37Y65
INT
INT_X23Y65
TIEOFF TIEOFF_X41Y130
CLEXM
CLEXM_X23Y65
SLICEM SLICE_X38Y65
SLICEX SLICE_X39Y65
INT
INT_X24Y65
TIEOFF TIEOFF_X43Y130
CLEXL
CLEXL_X24Y65
SLICEL SLICE_X40Y65
SLICEX SLICE_X41Y65
INT_BRAM
INT_BRAM_X25Y65
TIEOFF TIEOFF_X45Y130
INT_INTERFACE
INT_INTERFACE_X25Y65
NULL
NULL_X60Y72
INT
INT_X26Y65
TIEOFF TIEOFF_X46Y130
CLEXL
CLEXL_X26Y65
SLICEL SLICE_X42Y65
SLICEX SLICE_X43Y65
INT
INT_X27Y65
TIEOFF TIEOFF_X48Y130
CLEXM
CLEXM_X27Y65
SLICEM SLICE_X44Y65
SLICEX SLICE_X45Y65
INT
INT_X28Y65
TIEOFF TIEOFF_X50Y130
CLEXL
CLEXL_X28Y65
SLICEL SLICE_X46Y65
SLICEX SLICE_X47Y65
INT
INT_X29Y65
TIEOFF TIEOFF_X51Y130
CLEXM
CLEXM_X29Y65
SLICEM SLICE_X48Y65
SLICEX SLICE_X49Y65
INT
INT_X30Y65
TIEOFF TIEOFF_X53Y130
CLEXL
CLEXL_X30Y65
SLICEL SLICE_X50Y65
SLICEX SLICE_X51Y65
INT
INT_X31Y65
TIEOFF TIEOFF_X55Y130
INT_INTERFACE
INT_INTERFACE_X31Y65
NULL
NULL_X73Y72
INT
INT_X32Y65
TIEOFF TIEOFF_X56Y130
CLEXM
CLEXM_X32Y65
SLICEM SLICE_X52Y65
SLICEX SLICE_X53Y65
INT
INT_X33Y65
TIEOFF TIEOFF_X58Y130
CLEXL
CLEXL_X33Y65
SLICEL SLICE_X54Y65
SLICEX SLICE_X55Y65
INT_BRAM
INT_BRAM_X34Y65
TIEOFF TIEOFF_X60Y130
INT_INTERFACE
INT_INTERFACE_X34Y65
NULL
NULL_X80Y72
INT
INT_X35Y65
TIEOFF TIEOFF_X61Y130
CLEXM
CLEXM_X35Y65
SLICEM SLICE_X56Y65
SLICEX SLICE_X57Y65
INT
INT_X36Y65
TIEOFF TIEOFF_X63Y130
CLEXL
CLEXL_X36Y65
SLICEL SLICE_X58Y65
SLICEX SLICE_X59Y65
INT_GCLK
INT_X37Y65
TIEOFF TIEOFF_X65Y130
INT_INTERFACE
INT_INTERFACE_X37Y65
MCB_INT
MCB_INT_X37Y65
IOI_RTERM
IOI_RTERM_X88Y72
EMP_RIOB
EMP_RIOB_X37Y65
EMP_LIOB
EMP_LIOB_X0Y71
IOI_LTERM
IOI_LTERM_X1Y71
INT
INT_X0Y64
TIEOFF TIEOFF_X0Y128
INT_INTERFACE
INT_INTERFACE_X0Y64
MCB_INT
MCB_INT_X0Y64
INT_BRK
INT_X1Y64
TIEOFF TIEOFF_X2Y128
CLEXL
CLEXL_X1Y64
SLICEL SLICE_X0Y64
SLICEX SLICE_X1Y64
INT_BRK
INT_X2Y64
TIEOFF TIEOFF_X4Y128
CLEXM
CLEXM_X2Y64
SLICEM SLICE_X2Y64
SLICEX SLICE_X3Y64
INT_BRAM_BRK
INT_BRAM_BRK_X3Y64
TIEOFF TIEOFF_X6Y128
INT_INTERFACE
INT_INTERFACE_X3Y64
BRAMSITE2
BRAMSITE2_X3Y64
RAMB16BWER RAMB16_X0Y32
RAMB8BWER RAMB8_X0Y32
RAMB8BWER RAMB8_X0Y33
INT_BRK
INT_X4Y64
TIEOFF TIEOFF_X7Y128
CLEXL
CLEXL_X4Y64
SLICEL SLICE_X4Y64
SLICEX SLICE_X5Y64
INT_BRK
INT_X5Y64
TIEOFF TIEOFF_X9Y128
CLEXM
CLEXM_X5Y64
SLICEM SLICE_X6Y64
SLICEX SLICE_X7Y64
INT_BRK
INT_X6Y64
TIEOFF TIEOFF_X11Y128
INT_INTERFACE
INT_INTERFACE_X6Y64
MACCSITE2
MACCSITE2_X6Y64
DSP48A1 DSP48_X0Y16
INT_BRK
INT_X7Y64
TIEOFF TIEOFF_X12Y128
CLEXL
CLEXL_X7Y64
SLICEL SLICE_X8Y64
SLICEX SLICE_X9Y64
INT_BRK
INT_X8Y64
TIEOFF TIEOFF_X14Y128
CLEXM
CLEXM_X8Y64
SLICEM SLICE_X10Y64
SLICEX SLICE_X11Y64
INT_BRK
INT_X9Y64
TIEOFF TIEOFF_X16Y128
CLEXL
CLEXL_X9Y64
SLICEL SLICE_X12Y64
SLICEX SLICE_X13Y64
INT_BRK
INT_X10Y64
TIEOFF TIEOFF_X17Y128
CLEXM
CLEXM_X10Y64
SLICEM SLICE_X14Y64
SLICEX SLICE_X15Y64
INT_BRK
INT_X11Y64
TIEOFF TIEOFF_X19Y128
CLEXL
CLEXL_X11Y64
SLICEL SLICE_X16Y64
SLICEX SLICE_X17Y64
INT_BRAM_BRK
INT_BRAM_BRK_X12Y64
TIEOFF TIEOFF_X21Y128
INT_INTERFACE
INT_INTERFACE_X12Y64
BRAMSITE2
BRAMSITE2_X12Y64
RAMB16BWER RAMB16_X1Y32
RAMB8BWER RAMB8_X1Y32
RAMB8BWER RAMB8_X1Y33
INT_BRK
INT_X13Y64
TIEOFF TIEOFF_X22Y128
CLEXM
CLEXM_X13Y64
SLICEM SLICE_X18Y64
SLICEX SLICE_X19Y64
INT_BRK
INT_X14Y64
TIEOFF TIEOFF_X24Y128
CLEXL
CLEXL_X14Y64
SLICEL SLICE_X20Y64
SLICEX SLICE_X21Y64
INT_BRK
INT_X15Y64
TIEOFF TIEOFF_X26Y128
CLEXM
CLEXM_X15Y64
SLICEM SLICE_X22Y64
SLICEX SLICE_X23Y64
INT_BRK
INT_X16Y64
TIEOFF TIEOFF_X28Y128
CLEXL
CLEXL_X16Y64
SLICEL SLICE_X24Y64
SLICEX SLICE_X25Y64
INT_BRK
INT_X17Y64
TIEOFF TIEOFF_X29Y128
CLEXM
CLEXM_X17Y64
SLICEM SLICE_X26Y64
SLICEX SLICE_X27Y64
INT_BRK
INT_X18Y64
TIEOFF TIEOFF_X31Y128
INT_INTERFACE_REGC
INT_INTERFACE_REGC_X18Y64
NULL
NULL_X44Y71
REG_V_BRK
REG_V_BRK_X18Y64
INT_BRK
INT_X19Y64
TIEOFF TIEOFF_X34Y128
CLEXM
CLEXM_X19Y64
SLICEM SLICE_X30Y64
SLICEX SLICE_X31Y64
INT_BRK
INT_X20Y64
TIEOFF TIEOFF_X36Y128
CLEXL
CLEXL_X20Y64
SLICEL SLICE_X32Y64
SLICEX SLICE_X33Y64
INT_BRK
INT_X21Y64
TIEOFF TIEOFF_X38Y128
CLEXM
CLEXM_X21Y64
SLICEM SLICE_X34Y64
SLICEX SLICE_X35Y64
INT_BRK
INT_X22Y64
TIEOFF TIEOFF_X39Y128
CLEXL
CLEXL_X22Y64
SLICEL SLICE_X36Y64
SLICEX SLICE_X37Y64
INT_BRK
INT_X23Y64
TIEOFF TIEOFF_X41Y128
CLEXM
CLEXM_X23Y64
SLICEM SLICE_X38Y64
SLICEX SLICE_X39Y64
INT_BRK
INT_X24Y64
TIEOFF TIEOFF_X43Y128
CLEXL
CLEXL_X24Y64
SLICEL SLICE_X40Y64
SLICEX SLICE_X41Y64
INT_BRAM_BRK
INT_BRAM_BRK_X25Y64
TIEOFF TIEOFF_X45Y128
INT_INTERFACE
INT_INTERFACE_X25Y64
BRAMSITE2
BRAMSITE2_X25Y64
RAMB16BWER RAMB16_X2Y32
RAMB8BWER RAMB8_X2Y32
RAMB8BWER RAMB8_X2Y33
INT_BRK
INT_X26Y64
TIEOFF TIEOFF_X46Y128
CLEXL
CLEXL_X26Y64
SLICEL SLICE_X42Y64
SLICEX SLICE_X43Y64
INT_BRK
INT_X27Y64
TIEOFF TIEOFF_X48Y128
CLEXM
CLEXM_X27Y64
SLICEM SLICE_X44Y64
SLICEX SLICE_X45Y64
INT_BRK
INT_X28Y64
TIEOFF TIEOFF_X50Y128
CLEXL
CLEXL_X28Y64
SLICEL SLICE_X46Y64
SLICEX SLICE_X47Y64
INT_BRK
INT_X29Y64
TIEOFF TIEOFF_X51Y128
CLEXM
CLEXM_X29Y64
SLICEM SLICE_X48Y64
SLICEX SLICE_X49Y64
INT_BRK
INT_X30Y64
TIEOFF TIEOFF_X53Y128
CLEXL
CLEXL_X30Y64
SLICEL SLICE_X50Y64
SLICEX SLICE_X51Y64
INT_BRK
INT_X31Y64
TIEOFF TIEOFF_X55Y128
INT_INTERFACE
INT_INTERFACE_X31Y64
MACCSITE2
MACCSITE2_X31Y64
DSP48A1 DSP48_X1Y16
INT_BRK
INT_X32Y64
TIEOFF TIEOFF_X56Y128
CLEXM
CLEXM_X32Y64
SLICEM SLICE_X52Y64
SLICEX SLICE_X53Y64
INT_BRK
INT_X33Y64
TIEOFF TIEOFF_X58Y128
CLEXL
CLEXL_X33Y64
SLICEL SLICE_X54Y64
SLICEX SLICE_X55Y64
INT_BRAM_BRK
INT_BRAM_BRK_X34Y64
TIEOFF TIEOFF_X60Y128
INT_INTERFACE
INT_INTERFACE_X34Y64
BRAMSITE2
BRAMSITE2_X34Y64
RAMB16BWER RAMB16_X3Y32
RAMB8BWER RAMB8_X3Y32
RAMB8BWER RAMB8_X3Y33
INT_BRK
INT_X35Y64
TIEOFF TIEOFF_X61Y128
CLEXM
CLEXM_X35Y64
SLICEM SLICE_X56Y64
SLICEX SLICE_X57Y64
INT_BRK
INT_X36Y64
TIEOFF TIEOFF_X63Y128
CLEXL
CLEXL_X36Y64
SLICEL SLICE_X58Y64
SLICEX SLICE_X59Y64
INT
INT_X37Y64
TIEOFF TIEOFF_X65Y128
INT_INTERFACE
INT_INTERFACE_X37Y64
MCB_INT
MCB_INT_X37Y64
IOI_RTERM
IOI_RTERM_X88Y71
EMP_RIOB
EMP_RIOB_X37Y64
REG_L
REG_L_X0Y70
BUFIO2 BUFIO2_X0Y22
BUFIO2 BUFIO2_X0Y16
BUFIO2 BUFIO2_X1Y14
BUFIO2 BUFIO2_X1Y8
BUFIO2FB BUFIO2FB_X0Y22
BUFIO2FB BUFIO2FB_X0Y16
BUFIO2FB BUFIO2FB_X1Y14
BUFIO2FB BUFIO2FB_X1Y8
BUFIO2 BUFIO2_X1Y9
BUFIO2 BUFIO2_X0Y23
BUFIO2 BUFIO2_X1Y15
BUFIO2 BUFIO2_X0Y17
BUFPLL BUFPLL_X0Y2
BUFPLL BUFPLL_X0Y3
BUFIO2FB BUFIO2FB_X0Y23
BUFIO2FB BUFIO2FB_X1Y9
BUFIO2FB BUFIO2FB_X1Y15
BUFIO2FB BUFIO2FB_X0Y17
BUFPLL_MCB BUFPLL_MCB_X0Y5
TIEOFF TIEOFF_X1Y127
REGH_IOI_LTERM
REGH_IOI_LTERM_X1Y70
REGH_LIOI_INT
REGH_LIOI_INT_X0Y63
PCILOGICSE PCILOGIC_X0Y0
REGH_IOI
REGH_IOI_X0Y63
MCB_REGH
MCB_REGH_X0Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X1Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X1Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X2Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X2Y63
BRAM_REGH_FEEDTHRU
BRAM_REGH_FEEDTHRU_X3Y63
BRAM_REGH_FEEDTHRU_INTER
BRAM_REGH_FEEDTHRU_INTER_X3Y63
REGH_BRAM_FEEDTHRU
REGH_BRAM_FEEDTHRU_X3Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X4Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X4Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X5Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X5Y63
REGH_DSP_INT
REGH_DSP_INT_X6Y63
REGH_DSP_CLB
REGH_DSP_CLB_X6Y63
REGH_DSP_L
REGH_DSP_L_X6Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X7Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X7Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X8Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X8Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X9Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X9Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X10Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X10Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X11Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X11Y63
BRAM_REGH_FEEDTHRU
BRAM_REGH_FEEDTHRU_X12Y63
BRAM_REGH_FEEDTHRU_INTER
BRAM_REGH_FEEDTHRU_INTER_X12Y63
REGH_BRAM_FEEDTHRU
REGH_BRAM_FEEDTHRU_X12Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X13Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X13Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X14Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X14Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X15Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X15Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X16Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X16Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X17Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X17Y63
REGC_INT
REGC_INT_X18Y63
REGC_CLE
REGC_CLE_X18Y63
REG_C_CMT
REG_C_CMT_X18Y63
CLKC
CLKC_X18Y63
BUFGMUX BUFGMUX_X2Y3
BUFGMUX BUFGMUX_X2Y2
BUFGMUX BUFGMUX_X2Y1
BUFGMUX BUFGMUX_X2Y10
BUFGMUX BUFGMUX_X2Y9
BUFGMUX BUFGMUX_X3Y8
BUFGMUX BUFGMUX_X2Y11
BUFGMUX BUFGMUX_X3Y7
BUFGMUX BUFGMUX_X3Y6
BUFGMUX BUFGMUX_X3Y5
BUFGMUX BUFGMUX_X3Y16
BUFGMUX BUFGMUX_X3Y15
BUFGMUX BUFGMUX_X3Y14
BUFGMUX BUFGMUX_X2Y12
BUFGMUX BUFGMUX_X2Y4
BUFGMUX BUFGMUX_X3Y13
REGH_CLEXM_INT
REGH_CLEXM_INT_X19Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X19Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X20Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X20Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X21Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X21Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X22Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X22Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X23Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X23Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X24Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X24Y63
BRAM_REGH_FEEDTHRU
BRAM_REGH_FEEDTHRU_X25Y63
BRAM_REGH_FEEDTHRU_INTER
BRAM_REGH_FEEDTHRU_INTER_X25Y63
REGH_BRAM_FEEDTHRU
REGH_BRAM_FEEDTHRU_X25Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X26Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X26Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X27Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X27Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X28Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X28Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X29Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X29Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X30Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X30Y63
REGH_DSP_INT
REGH_DSP_INT_X31Y63
REGH_DSP_CLB
REGH_DSP_CLB_X31Y63
REGH_DSP_R
REGH_DSP_R_X31Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X32Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X32Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X33Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X33Y63
BRAM_REGH_FEEDTHRU
BRAM_REGH_FEEDTHRU_X34Y63
BRAM_REGH_FEEDTHRU_INTER
BRAM_REGH_FEEDTHRU_INTER_X34Y63
REGH_BRAM_FEEDTHRU
REGH_BRAM_FEEDTHRU_X34Y63
REGH_CLEXM_INT
REGH_CLEXM_INT_X35Y63
REGH_CLEXM_CLE
REGH_CLEXM_CLE_X35Y63
REGH_CLEXL_INT
REGH_CLEXL_INT_X36Y63
REGH_CLEXL_CLE
REGH_CLEXL_CLE_X36Y63
REGH_RIOI_INT
REGH_RIOI_INT_X37Y63
REGH_RIOI
REGH_RIOI_X37Y63
PCILOGICSE PCILOGIC_X1Y0
MCB_REGH
MCB_REGH_X37Y63
REGH_IOI_RTERM
REGH_IOI_RTERM_X88Y70
REG_R
REG_R_X88Y70
BUFPLL_MCB BUFPLL_MCB_X2Y5
TIEOFF TIEOFF_X66Y127
BUFPLL BUFPLL_X2Y3
BUFIO2FB BUFIO2FB_X3Y13
BUFIO2FB BUFIO2FB_X4Y19
BUFIO2FB BUFIO2FB_X4Y21
BUFIO2FB BUFIO2FB_X3Y11
BUFPLL BUFPLL_X2Y2
BUFIO2 BUFIO2_X3Y13
BUFIO2 BUFIO2_X4Y19
BUFIO2 BUFIO2_X3Y11
BUFIO2 BUFIO2_X4Y21
BUFIO2FB BUFIO2FB_X4Y20
BUFIO2FB BUFIO2FB_X4Y18
BUFIO2FB BUFIO2FB_X3Y12
BUFIO2FB BUFIO2FB_X3Y10
BUFIO2 BUFIO2_X4Y20
BUFIO2 BUFIO2_X4Y18
BUFIO2 BUFIO2_X3Y12
BUFIO2 BUFIO2_X3Y10
LIOB_RDY
LIOB_RDY_X0Y63
IOBM P3
IOBS N4
IOI_LTERM_LOWER_TOP
IOI_LTERM_LOWER_TOP_X1Y69
LIOI_INT
LIOI_INT_X0Y63
TIEOFF TIEOFF_X0Y126
LIOI
LIOI_X0Y63
OLOGIC2 OLOGIC_X0Y58
IODELAY2 IODELAY_X0Y58
ILOGIC2 ILOGIC_X0Y58
OLOGIC2 OLOGIC_X0Y59
IODELAY2 IODELAY_X0Y59
ILOGIC2 ILOGIC_X0Y59
TIEOFF TIEOFF_X1Y126
MCB_INT_ULDM
MCB_INT_ULDM_X0Y63
INT
INT_X1Y63
TIEOFF TIEOFF_X2Y126
CLEXL
CLEXL_X1Y63
SLICEL SLICE_X0Y63
SLICEX SLICE_X1Y63
INT
INT_X2Y63
TIEOFF TIEOFF_X4Y126
CLEXM
CLEXM_X2Y63
SLICEM SLICE_X2Y63
SLICEX SLICE_X3Y63
INT_BRAM
INT_BRAM_X3Y63
TIEOFF TIEOFF_X6Y126
INT_INTERFACE
INT_INTERFACE_X3Y63
NULL
NULL_X11Y69
INT
INT_X4Y63
TIEOFF TIEOFF_X7Y126
CLEXL
CLEXL_X4Y63
SLICEL SLICE_X4Y63
SLICEX SLICE_X5Y63
INT
INT_X5Y63
TIEOFF TIEOFF_X9Y126
CLEXM
CLEXM_X5Y63
SLICEM SLICE_X6Y63
SLICEX SLICE_X7Y63
INT
INT_X6Y63
TIEOFF TIEOFF_X11Y126
INT_INTERFACE
INT_INTERFACE_X6Y63
NULL
NULL_X18Y69
INT
INT_X7Y63
TIEOFF TIEOFF_X12Y126
CLEXL
CLEXL_X7Y63
SLICEL SLICE_X8Y63
SLICEX SLICE_X9Y63
INT
INT_X8Y63
TIEOFF TIEOFF_X14Y126
CLEXM
CLEXM_X8Y63
SLICEM SLICE_X10Y63
SLICEX SLICE_X11Y63
INT
INT_X9Y63
TIEOFF TIEOFF_X16Y126
CLEXL
CLEXL_X9Y63
SLICEL SLICE_X12Y63
SLICEX SLICE_X13Y63
INT
INT_X10Y63
TIEOFF TIEOFF_X17Y126
CLEXM
CLEXM_X10Y63
SLICEM SLICE_X14Y63
SLICEX SLICE_X15Y63
INT
INT_X11Y63
TIEOFF TIEOFF_X19Y126
CLEXL
CLEXL_X11Y63
SLICEL SLICE_X16Y63
SLICEX SLICE_X17Y63
INT_BRAM
INT_BRAM_X12Y63
TIEOFF TIEOFF_X21Y126
INT_INTERFACE
INT_INTERFACE_X12Y63
NULL
NULL_X31Y69
INT
INT_X13Y63
TIEOFF TIEOFF_X22Y126
CLEXM
CLEXM_X13Y63
SLICEM SLICE_X18Y63
SLICEX SLICE_X19Y63
INT
INT_X14Y63
TIEOFF TIEOFF_X24Y126
CLEXL
CLEXL_X14Y63
SLICEL SLICE_X20Y63
SLICEX SLICE_X21Y63
INT
INT_X15Y63
TIEOFF TIEOFF_X26Y126
CLEXM
CLEXM_X15Y63
SLICEM SLICE_X22Y63
SLICEX SLICE_X23Y63
INT
INT_X16Y63
TIEOFF TIEOFF_X28Y126
CLEXL
CLEXL_X16Y63
SLICEL SLICE_X24Y63
SLICEX SLICE_X25Y63
INT
INT_X17Y63
TIEOFF TIEOFF_X29Y126
CLEXM
CLEXM_X17Y63
SLICEM SLICE_X26Y63
SLICEX SLICE_X27Y63
INT
INT_X18Y63
TIEOFF TIEOFF_X31Y126
CLEXL
CLEXL_X18Y63
SLICEL SLICE_X28Y63
SLICEX SLICE_X29Y63
NULL
NULL_X44Y69
REG_V
REG_V_X18Y63
INT
INT_X19Y63
TIEOFF TIEOFF_X34Y126
CLEXM
CLEXM_X19Y63
SLICEM SLICE_X30Y63
SLICEX SLICE_X31Y63
INT
INT_X20Y63
TIEOFF TIEOFF_X36Y126
CLEXL
CLEXL_X20Y63
SLICEL SLICE_X32Y63
SLICEX SLICE_X33Y63
INT
INT_X21Y63
TIEOFF TIEOFF_X38Y126
CLEXM
CLEXM_X21Y63
SLICEM SLICE_X34Y63
SLICEX SLICE_X35Y63
INT
INT_X22Y63
TIEOFF TIEOFF_X39Y126
CLEXL
CLEXL_X22Y63
SLICEL SLICE_X36Y63
SLICEX SLICE_X37Y63
INT
INT_X23Y63
TIEOFF TIEOFF_X41Y126
CLEXM
CLEXM_X23Y63
SLICEM SLICE_X38Y63
SLICEX SLICE_X39Y63
INT
INT_X24Y63
TIEOFF TIEOFF_X43Y126
CLEXL
CLEXL_X24Y63
SLICEL SLICE_X40Y63
SLICEX SLICE_X41Y63
INT_BRAM
INT_BRAM_X25Y63
TIEOFF TIEOFF_X45Y126
INT_INTERFACE
INT_INTERFACE_X25Y63
NULL
NULL_X60Y69
INT
INT_X26Y63
TIEOFF TIEOFF_X46Y126
CLEXL
CLEXL_X26Y63
SLICEL SLICE_X42Y63
SLICEX SLICE_X43Y63
INT
INT_X27Y63
TIEOFF TIEOFF_X48Y126
CLEXM
CLEXM_X27Y63
SLICEM SLICE_X44Y63
SLICEX SLICE_X45Y63
INT
INT_X28Y63
TIEOFF TIEOFF_X50Y126
CLEXL
CLEXL_X28Y63
SLICEL SLICE_X46Y63
SLICEX SLICE_X47Y63
INT
INT_X29Y63
TIEOFF TIEOFF_X51Y126
CLEXM
CLEXM_X29Y63
SLICEM SLICE_X48Y63
SLICEX SLICE_X49Y63
INT
INT_X30Y63
TIEOFF TIEOFF_X53Y126
CLEXL
CLEXL_X30Y63
SLICEL SLICE_X50Y63
SLICEX SLICE_X51Y63
INT
INT_X31Y63
TIEOFF TIEOFF_X55Y126
INT_INTERFACE
INT_INTERFACE_X31Y63
NULL
NULL_X73Y69
INT
INT_X32Y63
TIEOFF TIEOFF_X56Y126
CLEXM
CLEXM_X32Y63
SLICEM SLICE_X52Y63
SLICEX SLICE_X53Y63
INT
INT_X33Y63
TIEOFF TIEOFF_X58Y126
CLEXL
CLEXL_X33Y63
SLICEL SLICE_X54Y63
SLICEX SLICE_X55Y63
INT_BRAM
INT_BRAM_X34Y63
TIEOFF TIEOFF_X60Y126
INT_INTERFACE
INT_INTERFACE_X34Y63
NULL
NULL_X80Y69
INT
INT_X35Y63
TIEOFF TIEOFF_X61Y126
CLEXM
CLEXM_X35Y63
SLICEM SLICE_X56Y63
SLICEX SLICE_X57Y63
INT
INT_X36Y63
TIEOFF TIEOFF_X63Y126
CLEXL
CLEXL_X36Y63
SLICEL SLICE_X58Y63
SLICEX SLICE_X59Y63
IOI_INT
IOI_INT_X37Y63
TIEOFF TIEOFF_X65Y126
RIOI
RIOI_X37Y63
OLOGIC2 OLOGIC_X27Y58
IODELAY2 IODELAY_X27Y58
ILOGIC2 ILOGIC_X27Y58
OLOGIC2 OLOGIC_X27Y59
IODELAY2 IODELAY_X27Y59
ILOGIC2 ILOGIC_X27Y59
TIEOFF TIEOFF_X66Y126
MCB_INT_ULDM
MCB_INT_ULDM_X37Y63
IOI_RTERM_LOWER_TOP
IOI_RTERM_LOWER_TOP_X88Y69
RIOB_PCI
RIOB_PCI_X37Y63
IOBS N19
IOBM P20
LIOB
LIOB_X0Y62
IOBM L3
IOBS L1
IOI_LTERM_LOWER_BOT
IOI_LTERM_LOWER_BOT_X1Y68
LIOI_INT
LIOI_INT_X0Y62
TIEOFF TIEOFF_X0Y124
LIOI
LIOI_X0Y62
OLOGIC2 OLOGIC_X0Y56
IODELAY2 IODELAY_X0Y56
ILOGIC2 ILOGIC_X0Y56
OLOGIC2 OLOGIC_X0Y57
IODELAY2 IODELAY_X0Y57
ILOGIC2 ILOGIC_X0Y57
TIEOFF TIEOFF_X1Y124
MCB_INT_BOT
MCB_INT_BOT_X0Y62
INT
INT_X1Y62
TIEOFF TIEOFF_X2Y124
CLEXL
CLEXL_X1Y62
SLICEL SLICE_X0Y62
SLICEX SLICE_X1Y62
INT
INT_X2Y62
TIEOFF TIEOFF_X4Y124
CLEXM
CLEXM_X2Y62
SLICEM SLICE_X2Y62
SLICEX SLICE_X3Y62
INT_BRAM
INT_BRAM_X3Y62
TIEOFF TIEOFF_X6Y124
INT_INTERFACE
INT_INTERFACE_X3Y62
NULL
NULL_X11Y68
INT
INT_X4Y62
TIEOFF TIEOFF_X7Y124
CLEXL
CLEXL_X4Y62
SLICEL SLICE_X4Y62
SLICEX SLICE_X5Y62
INT
INT_X5Y62
TIEOFF TIEOFF_X9Y124
CLEXM
CLEXM_X5Y62
SLICEM SLICE_X6Y62
SLICEX SLICE_X7Y62
INT
INT_X6Y62
TIEOFF TIEOFF_X11Y124
INT_INTERFACE
INT_INTERFACE_X6Y62
NULL
NULL_X18Y68
INT
INT_X7Y62
TIEOFF TIEOFF_X12Y124
CLEXL
CLEXL_X7Y62
SLICEL SLICE_X8Y62
SLICEX SLICE_X9Y62
INT
INT_X8Y62
TIEOFF TIEOFF_X14Y124
CLEXM
CLEXM_X8Y62
SLICEM SLICE_X10Y62
SLICEX SLICE_X11Y62
INT
INT_X9Y62
TIEOFF TIEOFF_X16Y124
CLEXL
CLEXL_X9Y62
SLICEL SLICE_X12Y62
SLICEX SLICE_X13Y62
INT
INT_X10Y62
TIEOFF TIEOFF_X17Y124
CLEXM
CLEXM_X10Y62
SLICEM SLICE_X14Y62
SLICEX SLICE_X15Y62
INT
INT_X11Y62
TIEOFF TIEOFF_X19Y124
CLEXL
CLEXL_X11Y62
SLICEL SLICE_X16Y62
SLICEX SLICE_X17Y62
INT_BRAM
INT_BRAM_X12Y62
TIEOFF TIEOFF_X21Y124
INT_INTERFACE
INT_INTERFACE_X12Y62
NULL
NULL_X31Y68
INT
INT_X13Y62
TIEOFF TIEOFF_X22Y124
CLEXM
CLEXM_X13Y62
SLICEM SLICE_X18Y62
SLICEX SLICE_X19Y62
INT
INT_X14Y62
TIEOFF TIEOFF_X24Y124
CLEXL
CLEXL_X14Y62
SLICEL SLICE_X20Y62
SLICEX SLICE_X21Y62
INT
INT_X15Y62
TIEOFF TIEOFF_X26Y124
CLEXM
CLEXM_X15Y62
SLICEM SLICE_X22Y62
SLICEX SLICE_X23Y62
INT
INT_X16Y62
TIEOFF TIEOFF_X28Y124
CLEXL
CLEXL_X16Y62
SLICEL SLICE_X24Y62
SLICEX SLICE_X25Y62
INT
INT_X17Y62
TIEOFF TIEOFF_X29Y124
CLEXM
CLEXM_X17Y62
SLICEM SLICE_X26Y62
SLICEX SLICE_X27Y62
INT
INT_X18Y62
TIEOFF TIEOFF_X31Y124
CLEXL
CLEXL_X18Y62
SLICEL SLICE_X28Y62
SLICEX SLICE_X29Y62
NULL
NULL_X44Y68
REG_V
REG_V_X18Y62
INT
INT_X19Y62
TIEOFF TIEOFF_X34Y124
CLEXM
CLEXM_X19Y62
SLICEM SLICE_X30Y62
SLICEX SLICE_X31Y62
INT
INT_X20Y62
TIEOFF TIEOFF_X36Y124
CLEXL
CLEXL_X20Y62
SLICEL SLICE_X32Y62
SLICEX SLICE_X33Y62
INT
INT_X21Y62
TIEOFF TIEOFF_X38Y124
CLEXM
CLEXM_X21Y62
SLICEM SLICE_X34Y62
SLICEX SLICE_X35Y62
INT
INT_X22Y62
TIEOFF TIEOFF_X39Y124
CLEXL
CLEXL_X22Y62
SLICEL SLICE_X36Y62
SLICEX SLICE_X37Y62
INT
INT_X23Y62
TIEOFF TIEOFF_X41Y124
CLEXM
CLEXM_X23Y62
SLICEM SLICE_X38Y62
SLICEX SLICE_X39Y62
INT
INT_X24Y62
TIEOFF TIEOFF_X43Y124
CLEXL
CLEXL_X24Y62
SLICEL SLICE_X40Y62
SLICEX SLICE_X41Y62
INT_BRAM
INT_BRAM_X25Y62
TIEOFF TIEOFF_X45Y124
INT_INTERFACE
INT_INTERFACE_X25Y62
NULL
NULL_X60Y68
INT
INT_X26Y62
TIEOFF TIEOFF_X46Y124
CLEXL
CLEXL_X26Y62
SLICEL SLICE_X42Y62
SLICEX SLICE_X43Y62
INT
INT_X27Y62
TIEOFF TIEOFF_X48Y124
CLEXM
CLEXM_X27Y62
SLICEM SLICE_X44Y62
SLICEX SLICE_X45Y62
INT
INT_X28Y62
TIEOFF TIEOFF_X50Y124
CLEXL
CLEXL_X28Y62
SLICEL SLICE_X46Y62
SLICEX SLICE_X47Y62
INT
INT_X29Y62
TIEOFF TIEOFF_X51Y124
CLEXM
CLEXM_X29Y62
SLICEM SLICE_X48Y62
SLICEX SLICE_X49Y62
INT
INT_X30Y62
TIEOFF TIEOFF_X53Y124
CLEXL
CLEXL_X30Y62
SLICEL SLICE_X50Y62
SLICEX SLICE_X51Y62
INT
INT_X31Y62
TIEOFF TIEOFF_X55Y124
INT_INTERFACE
INT_INTERFACE_X31Y62
NULL
NULL_X73Y68
INT
INT_X32Y62
TIEOFF TIEOFF_X56Y124
CLEXM
CLEXM_X32Y62
SLICEM SLICE_X52Y62
SLICEX SLICE_X53Y62
INT
INT_X33Y62
TIEOFF TIEOFF_X58Y124
CLEXL
CLEXL_X33Y62
SLICEL SLICE_X54Y62
SLICEX SLICE_X55Y62
INT_BRAM
INT_BRAM_X34Y62
TIEOFF TIEOFF_X60Y124
INT_INTERFACE
INT_INTERFACE_X34Y62
NULL
NULL_X80Y68
INT
INT_X35Y62
TIEOFF TIEOFF_X61Y124
CLEXM
CLEXM_X35Y62
SLICEM SLICE_X56Y62
SLICEX SLICE_X57Y62
INT
INT_X36Y62
TIEOFF TIEOFF_X63Y124
CLEXL
CLEXL_X36Y62
SLICEL SLICE_X58Y62
SLICEX SLICE_X59Y62
IOI_INT
IOI_INT_X37Y62
TIEOFF TIEOFF_X65Y124
RIOI
RIOI_X37Y62
OLOGIC2 OLOGIC_X27Y56
IODELAY2 IODELAY_X27Y56
ILOGIC2 ILOGIC_X27Y56
OLOGIC2 OLOGIC_X27Y57
IODELAY2 IODELAY_X27Y57
ILOGIC2 ILOGIC_X27Y57
TIEOFF TIEOFF_X66Y124
MCB_INT_BOT
MCB_INT_BOT_X37Y62
IOI_RTERM_LOWER_BOT
IOI_RTERM_LOWER_BOT_X88Y68
RIOB
RIOB_X37Y62
IOBS L22
IOBM L20
LIOB
LIOB_X0Y61
IOBM M2
IOBS M1
IOI_LTERM
IOI_LTERM_X1Y67
LIOI_INT
LIOI_INT_X0Y61
TIEOFF TIEOFF_X0Y122
LIOI
LIOI_X0Y61
OLOGIC2 OLOGIC_X0Y54
IODELAY2 IODELAY_X0Y54
ILOGIC2 ILOGIC_X0Y54
OLOGIC2 OLOGIC_X0Y55
IODELAY2 IODELAY_X0Y55
ILOGIC2 ILOGIC_X0Y55
TIEOFF TIEOFF_X1Y122
MCB_INT_BOT
MCB_INT_BOT_X0Y61
INT
INT_X1Y61
TIEOFF TIEOFF_X2Y122
CLEXL
CLEXL_X1Y61
SLICEL SLICE_X0Y61
SLICEX SLICE_X1Y61
INT
INT_X2Y61
TIEOFF TIEOFF_X4Y122
CLEXM
CLEXM_X2Y61
SLICEM SLICE_X2Y61
SLICEX SLICE_X3Y61
INT_BRAM
INT_BRAM_X3Y61
TIEOFF TIEOFF_X6Y122
INT_INTERFACE
INT_INTERFACE_X3Y61
NULL
NULL_X11Y67
INT
INT_X4Y61
TIEOFF TIEOFF_X7Y122
CLEXL
CLEXL_X4Y61
SLICEL SLICE_X4Y61
SLICEX SLICE_X5Y61
INT
INT_X5Y61
TIEOFF TIEOFF_X9Y122
CLEXM
CLEXM_X5Y61
SLICEM SLICE_X6Y61
SLICEX SLICE_X7Y61
INT
INT_X6Y61
TIEOFF TIEOFF_X11Y122
INT_INTERFACE
INT_INTERFACE_X6Y61
NULL
NULL_X18Y67
INT
INT_X7Y61
TIEOFF TIEOFF_X12Y122
CLEXL
CLEXL_X7Y61
SLICEL SLICE_X8Y61
SLICEX SLICE_X9Y61
INT
INT_X8Y61
TIEOFF TIEOFF_X14Y122
CLEXM
CLEXM_X8Y61
SLICEM SLICE_X10Y61
SLICEX SLICE_X11Y61
INT
INT_X9Y61
TIEOFF TIEOFF_X16Y122
CLEXL
CLEXL_X9Y61
SLICEL SLICE_X12Y61
SLICEX SLICE_X13Y61
INT
INT_X10Y61
TIEOFF TIEOFF_X17Y122
CLEXM
CLEXM_X10Y61
SLICEM SLICE_X14Y61
SLICEX SLICE_X15Y61
INT
INT_X11Y61
TIEOFF TIEOFF_X19Y122
CLEXL
CLEXL_X11Y61
SLICEL SLICE_X16Y61
SLICEX SLICE_X17Y61
INT_BRAM
INT_BRAM_X12Y61
TIEOFF TIEOFF_X21Y122
INT_INTERFACE
INT_INTERFACE_X12Y61
NULL
NULL_X31Y67
INT
INT_X13Y61
TIEOFF TIEOFF_X22Y122
CLEXM
CLEXM_X13Y61
SLICEM SLICE_X18Y61
SLICEX SLICE_X19Y61
INT
INT_X14Y61
TIEOFF TIEOFF_X24Y122
CLEXL
CLEXL_X14Y61
SLICEL SLICE_X20Y61
SLICEX SLICE_X21Y61
INT
INT_X15Y61
TIEOFF TIEOFF_X26Y122
CLEXM
CLEXM_X15Y61
SLICEM SLICE_X22Y61
SLICEX SLICE_X23Y61
INT
INT_X16Y61
TIEOFF TIEOFF_X28Y122
CLEXL
CLEXL_X16Y61
SLICEL SLICE_X24Y61
SLICEX SLICE_X25Y61
INT
INT_X17Y61
TIEOFF TIEOFF_X29Y122
CLEXM
CLEXM_X17Y61
SLICEM SLICE_X26Y61
SLICEX SLICE_X27Y61
INT
INT_X18Y61
TIEOFF TIEOFF_X31Y122
CLEXL
CLEXL_X18Y61
SLICEL SLICE_X28Y61
SLICEX SLICE_X29Y61
NULL
NULL_X44Y67
REG_V
REG_V_X18Y61
INT
INT_X19Y61
TIEOFF TIEOFF_X34Y122
CLEXM
CLEXM_X19Y61
SLICEM SLICE_X30Y61
SLICEX SLICE_X31Y61
INT
INT_X20Y61
TIEOFF TIEOFF_X36Y122
CLEXL
CLEXL_X20Y61
SLICEL SLICE_X32Y61
SLICEX SLICE_X33Y61
INT
INT_X21Y61
TIEOFF TIEOFF_X38Y122
CLEXM
CLEXM_X21Y61
SLICEM SLICE_X34Y61
SLICEX SLICE_X35Y61
INT
INT_X22Y61
TIEOFF TIEOFF_X39Y122
CLEXL
CLEXL_X22Y61
SLICEL SLICE_X36Y61
SLICEX SLICE_X37Y61
INT
INT_X23Y61
TIEOFF TIEOFF_X41Y122
CLEXM
CLEXM_X23Y61
SLICEM SLICE_X38Y61
SLICEX SLICE_X39Y61
INT
INT_X24Y61
TIEOFF TIEOFF_X43Y122
CLEXL
CLEXL_X24Y61
SLICEL SLICE_X40Y61
SLICEX SLICE_X41Y61
INT_BRAM
INT_BRAM_X25Y61
TIEOFF TIEOFF_X45Y122
INT_INTERFACE
INT_INTERFACE_X25Y61
NULL
NULL_X60Y67
INT
INT_X26Y61
TIEOFF TIEOFF_X46Y122
CLEXL
CLEXL_X26Y61
SLICEL SLICE_X42Y61
SLICEX SLICE_X43Y61
INT
INT_X27Y61
TIEOFF TIEOFF_X48Y122
CLEXM
CLEXM_X27Y61
SLICEM SLICE_X44Y61
SLICEX SLICE_X45Y61
INT
INT_X28Y61
TIEOFF TIEOFF_X50Y122
CLEXL
CLEXL_X28Y61
SLICEL SLICE_X46Y61
SLICEX SLICE_X47Y61
INT
INT_X29Y61
TIEOFF TIEOFF_X51Y122
CLEXM
CLEXM_X29Y61
SLICEM SLICE_X48Y61
SLICEX SLICE_X49Y61
INT
INT_X30Y61
TIEOFF TIEOFF_X53Y122
CLEXL
CLEXL_X30Y61
SLICEL SLICE_X50Y61
SLICEX SLICE_X51Y61
INT
INT_X31Y61
TIEOFF TIEOFF_X55Y122
INT_INTERFACE
INT_INTERFACE_X31Y61
NULL
NULL_X73Y67
INT
INT_X32Y61
TIEOFF TIEOFF_X56Y122
CLEXM
CLEXM_X32Y61
SLICEM SLICE_X52Y61
SLICEX SLICE_X53Y61
INT
INT_X33Y61
TIEOFF TIEOFF_X58Y122
CLEXL
CLEXL_X33Y61
SLICEL SLICE_X54Y61
SLICEX SLICE_X55Y61
INT_BRAM
INT_BRAM_X34Y61
TIEOFF TIEOFF_X60Y122
INT_INTERFACE
INT_INTERFACE_X34Y61
NULL
NULL_X80Y67
INT
INT_X35Y61
TIEOFF TIEOFF_X61Y122
CLEXM
CLEXM_X35Y61
SLICEM SLICE_X56Y61
SLICEX SLICE_X57Y61
INT
INT_X36Y61
TIEOFF TIEOFF_X63Y122
CLEXL
CLEXL_X36Y61
SLICEL SLICE_X58Y61
SLICEX SLICE_X59Y61
IOI_INT
IOI_INT_X37Y61
TIEOFF TIEOFF_X65Y122
RIOI
RIOI_X37Y61
OLOGIC2 OLOGIC_X27Y54
IODELAY2 IODELAY_X27Y54
ILOGIC2 ILOGIC_X27Y54
OLOGIC2 OLOGIC_X27Y55
IODELAY2 IODELAY_X27Y55
ILOGIC2 ILOGIC_X27Y55
TIEOFF TIEOFF_X66Y122
MCB_INT_BOT
MCB_INT_BOT_X37Y61
IOI_RTERM
IOI_RTERM_X88Y67
RIOB
RIOB_X37Y61
IOBS M22
IOBM M21
EMP_LIOB
EMP_LIOB_X0Y66
IOI_LTERM
IOI_LTERM_X1Y66
INT
INT_X0Y60
TIEOFF TIEOFF_X0Y120
INT_INTERFACE
INT_INTERFACE_X0Y60
NULL
NULL_X4Y66
INT
INT_X1Y60
TIEOFF TIEOFF_X2Y120
CLEXL
CLEXL_X1Y60
SLICEL SLICE_X0Y60
SLICEX SLICE_X1Y60
INT
INT_X2Y60
TIEOFF TIEOFF_X4Y120
CLEXM
CLEXM_X2Y60
SLICEM SLICE_X2Y60
SLICEX SLICE_X3Y60
INT_BRAM
INT_BRAM_X3Y60
TIEOFF TIEOFF_X6Y120
INT_INTERFACE
INT_INTERFACE_X3Y60
BRAMSITE2
BRAMSITE2_X3Y60
RAMB16BWER RAMB16_X0Y30
RAMB8BWER RAMB8_X0Y30
RAMB8BWER RAMB8_X0Y31
INT
INT_X4Y60
TIEOFF TIEOFF_X7Y120
CLEXL
CLEXL_X4Y60
SLICEL SLICE_X4Y60
SLICEX SLICE_X5Y60
INT
INT_X5Y60
TIEOFF TIEOFF_X9Y120
CLEXM
CLEXM_X5Y60
SLICEM SLICE_X6Y60
SLICEX SLICE_X7Y60
INT
INT_X6Y60
TIEOFF TIEOFF_X11Y120
INT_INTERFACE
INT_INTERFACE_X6Y60
MACCSITE2
MACCSITE2_X6Y60
DSP48A1 DSP48_X0Y15
INT
INT_X7Y60
TIEOFF TIEOFF_X12Y120
CLEXL
CLEXL_X7Y60
SLICEL SLICE_X8Y60
SLICEX SLICE_X9Y60
INT
INT_X8Y60
TIEOFF TIEOFF_X14Y120
CLEXM
CLEXM_X8Y60
SLICEM SLICE_X10Y60
SLICEX SLICE_X11Y60
INT
INT_X9Y60
TIEOFF TIEOFF_X16Y120
CLEXL
CLEXL_X9Y60
SLICEL SLICE_X12Y60
SLICEX SLICE_X13Y60
INT
INT_X10Y60
TIEOFF TIEOFF_X17Y120
CLEXM
CLEXM_X10Y60
SLICEM SLICE_X14Y60
SLICEX SLICE_X15Y60
INT
INT_X11Y60
TIEOFF TIEOFF_X19Y120
CLEXL
CLEXL_X11Y60
SLICEL SLICE_X16Y60
SLICEX SLICE_X17Y60
INT_BRAM
INT_BRAM_X12Y60
TIEOFF TIEOFF_X21Y120
INT_INTERFACE
INT_INTERFACE_X12Y60
BRAMSITE2
BRAMSITE2_X12Y60
RAMB16BWER RAMB16_X1Y30
RAMB8BWER RAMB8_X1Y30
RAMB8BWER RAMB8_X1Y31
INT
INT_X13Y60
TIEOFF TIEOFF_X22Y120
CLEXM
CLEXM_X13Y60
SLICEM SLICE_X18Y60
SLICEX SLICE_X19Y60
INT
INT_X14Y60
TIEOFF TIEOFF_X24Y120
CLEXL
CLEXL_X14Y60
SLICEL SLICE_X20Y60
SLICEX SLICE_X21Y60
INT
INT_X15Y60
TIEOFF TIEOFF_X26Y120
CLEXM
CLEXM_X15Y60
SLICEM SLICE_X22Y60
SLICEX SLICE_X23Y60
INT
INT_X16Y60
TIEOFF TIEOFF_X28Y120
CLEXL
CLEXL_X16Y60
SLICEL SLICE_X24Y60
SLICEX SLICE_X25Y60
INT
INT_X17Y60
TIEOFF TIEOFF_X29Y120
CLEXM
CLEXM_X17Y60
SLICEM SLICE_X26Y60
SLICEX SLICE_X27Y60
INT
INT_X18Y60
TIEOFF TIEOFF_X31Y120
CLEXL
CLEXL_X18Y60
SLICEL SLICE_X28Y60
SLICEX SLICE_X29Y60
NULL
NULL_X44Y66
REG_V
REG_V_X18Y60
INT
INT_X19Y60
TIEOFF TIEOFF_X34Y120
CLEXM
CLEXM_X19Y60
SLICEM SLICE_X30Y60
SLICEX SLICE_X31Y60
INT
INT_X20Y60
TIEOFF TIEOFF_X36Y120
CLEXL
CLEXL_X20Y60
SLICEL SLICE_X32Y60
SLICEX SLICE_X33Y60
INT
INT_X21Y60
TIEOFF TIEOFF_X38Y120
CLEXM
CLEXM_X21Y60
SLICEM SLICE_X34Y60
SLICEX SLICE_X35Y60
INT
INT_X22Y60
TIEOFF TIEOFF_X39Y120
CLEXL
CLEXL_X22Y60
SLICEL SLICE_X36Y60
SLICEX SLICE_X37Y60
INT
INT_X23Y60
TIEOFF TIEOFF_X41Y120
CLEXM
CLEXM_X23Y60
SLICEM SLICE_X38Y60
SLICEX SLICE_X39Y60
INT
INT_X24Y60
TIEOFF TIEOFF_X43Y120
CLEXL
CLEXL_X24Y60
SLICEL SLICE_X40Y60
SLICEX SLICE_X41Y60
INT_BRAM
INT_BRAM_X25Y60
TIEOFF TIEOFF_X45Y120
INT_INTERFACE
INT_INTERFACE_X25Y60
BRAMSITE2
BRAMSITE2_X25Y60
RAMB16BWER RAMB16_X2Y30
RAMB8BWER RAMB8_X2Y30
RAMB8BWER RAMB8_X2Y31
INT
INT_X26Y60
TIEOFF TIEOFF_X46Y120
CLEXL
CLEXL_X26Y60
SLICEL SLICE_X42Y60
SLICEX SLICE_X43Y60
INT
INT_X27Y60
TIEOFF TIEOFF_X48Y120
CLEXM
CLEXM_X27Y60
SLICEM SLICE_X44Y60
SLICEX SLICE_X45Y60
INT
INT_X28Y60
TIEOFF TIEOFF_X50Y120
CLEXL
CLEXL_X28Y60
SLICEL SLICE_X46Y60
SLICEX SLICE_X47Y60
INT
INT_X29Y60
TIEOFF TIEOFF_X51Y120
CLEXM
CLEXM_X29Y60
SLICEM SLICE_X48Y60
SLICEX SLICE_X49Y60
INT
INT_X30Y60
TIEOFF TIEOFF_X53Y120
CLEXL
CLEXL_X30Y60
SLICEL SLICE_X50Y60
SLICEX SLICE_X51Y60
INT
INT_X31Y60
TIEOFF TIEOFF_X55Y120
INT_INTERFACE
INT_INTERFACE_X31Y60
MACCSITE2
MACCSITE2_X31Y60
DSP48A1 DSP48_X1Y15
INT
INT_X32Y60
TIEOFF TIEOFF_X56Y120
CLEXM
CLEXM_X32Y60
SLICEM SLICE_X52Y60
SLICEX SLICE_X53Y60
INT
INT_X33Y60
TIEOFF TIEOFF_X58Y120
CLEXL
CLEXL_X33Y60
SLICEL SLICE_X54Y60
SLICEX SLICE_X55Y60
INT_BRAM
INT_BRAM_X34Y60
TIEOFF TIEOFF_X60Y120
INT_INTERFACE
INT_INTERFACE_X34Y60
BRAMSITE2
BRAMSITE2_X34Y60
RAMB16BWER RAMB16_X3Y30
RAMB8BWER RAMB8_X3Y30
RAMB8BWER RAMB8_X3Y31
INT
INT_X35Y60
TIEOFF TIEOFF_X61Y120
CLEXM
CLEXM_X35Y60
SLICEM SLICE_X56Y60
SLICEX SLICE_X57Y60
INT
INT_X36Y60
TIEOFF TIEOFF_X63Y120
CLEXL
CLEXL_X36Y60
SLICEL SLICE_X58Y60
SLICEX SLICE_X59Y60
INT
INT_X37Y60
TIEOFF TIEOFF_X65Y120
INT_INTERFACE
INT_INTERFACE_X37Y60
NULL
NULL_X87Y66
IOI_RTERM
IOI_RTERM_X88Y66
EMP_RIOB
EMP_RIOB_X37Y60
EMP_LIOB
EMP_LIOB_X0Y65
IOI_LTERM
IOI_LTERM_X1Y65
INT
INT_X0Y59
TIEOFF TIEOFF_X0Y118
INT_INTERFACE
INT_INTERFACE_X0Y59
MCB_MUI0R
MCB_MUI0R_X0Y59
INT
INT_X1Y59
TIEOFF TIEOFF_X2Y118
CLEXL
CLEXL_X1Y59
SLICEL SLICE_X0Y59
SLICEX SLICE_X1Y59
INT
INT_X2Y59
TIEOFF TIEOFF_X4Y118
CLEXM
CLEXM_X2Y59
SLICEM SLICE_X2Y59
SLICEX SLICE_X3Y59
INT_BRAM
INT_BRAM_X3Y59
TIEOFF TIEOFF_X6Y118
INT_INTERFACE
INT_INTERFACE_X3Y59
NULL
NULL_X11Y65
INT
INT_X4Y59
TIEOFF TIEOFF_X7Y118
CLEXL
CLEXL_X4Y59
SLICEL SLICE_X4Y59
SLICEX SLICE_X5Y59
INT
INT_X5Y59
TIEOFF TIEOFF_X9Y118
CLEXM
CLEXM_X5Y59
SLICEM SLICE_X6Y59
SLICEX SLICE_X7Y59
INT
INT_X6Y59
TIEOFF TIEOFF_X11Y118
INT_INTERFACE
INT_INTERFACE_X6Y59
NULL
NULL_X18Y65
INT
INT_X7Y59
TIEOFF TIEOFF_X12Y118
CLEXL
CLEXL_X7Y59
SLICEL SLICE_X8Y59
SLICEX SLICE_X9Y59
INT
INT_X8Y59
TIEOFF TIEOFF_X14Y118
CLEXM
CLEXM_X8Y59
SLICEM SLICE_X10Y59
SLICEX SLICE_X11Y59
INT
INT_X9Y59
TIEOFF TIEOFF_X16Y118
CLEXL
CLEXL_X9Y59
SLICEL SLICE_X12Y59
SLICEX SLICE_X13Y59
INT
INT_X10Y59
TIEOFF TIEOFF_X17Y118
CLEXM
CLEXM_X10Y59
SLICEM SLICE_X14Y59
SLICEX SLICE_X15Y59
INT
INT_X11Y59
TIEOFF TIEOFF_X19Y118
CLEXL
CLEXL_X11Y59
SLICEL SLICE_X16Y59
SLICEX SLICE_X17Y59
INT_BRAM
INT_BRAM_X12Y59
TIEOFF TIEOFF_X21Y118
INT_INTERFACE
INT_INTERFACE_X12Y59
NULL
NULL_X31Y65
INT
INT_X13Y59
TIEOFF TIEOFF_X22Y118
CLEXM
CLEXM_X13Y59
SLICEM SLICE_X18Y59
SLICEX SLICE_X19Y59
INT
INT_X14Y59
TIEOFF TIEOFF_X24Y118
CLEXL
CLEXL_X14Y59
SLICEL SLICE_X20Y59
SLICEX SLICE_X21Y59
INT
INT_X15Y59
TIEOFF TIEOFF_X26Y118
CLEXM
CLEXM_X15Y59
SLICEM SLICE_X22Y59
SLICEX SLICE_X23Y59
INT
INT_X16Y59
TIEOFF TIEOFF_X28Y118
CLEXL
CLEXL_X16Y59
SLICEL SLICE_X24Y59
SLICEX SLICE_X25Y59
INT
INT_X17Y59
TIEOFF TIEOFF_X29Y118
CLEXM
CLEXM_X17Y59
SLICEM SLICE_X26Y59
SLICEX SLICE_X27Y59
INT
INT_X18Y59
TIEOFF TIEOFF_X31Y118
CLEXL
CLEXL_X18Y59
SLICEL SLICE_X28Y59
SLICEX SLICE_X29Y59
NULL
NULL_X44Y65
REG_V
REG_V_X18Y59
INT
INT_X19Y59
TIEOFF TIEOFF_X34Y118
CLEXM
CLEXM_X19Y59
SLICEM SLICE_X30Y59
SLICEX SLICE_X31Y59
INT
INT_X20Y59
TIEOFF TIEOFF_X36Y118
CLEXL
CLEXL_X20Y59
SLICEL SLICE_X32Y59
SLICEX SLICE_X33Y59
INT
INT_X21Y59
TIEOFF TIEOFF_X38Y118
CLEXM
CLEXM_X21Y59
SLICEM SLICE_X34Y59
SLICEX SLICE_X35Y59
INT
INT_X22Y59
TIEOFF TIEOFF_X39Y118
CLEXL
CLEXL_X22Y59
SLICEL SLICE_X36Y59
SLICEX SLICE_X37Y59
INT
INT_X23Y59
TIEOFF TIEOFF_X41Y118
CLEXM
CLEXM_X23Y59
SLICEM SLICE_X38Y59
SLICEX SLICE_X39Y59
INT
INT_X24Y59
TIEOFF TIEOFF_X43Y118
CLEXL
CLEXL_X24Y59
SLICEL SLICE_X40Y59
SLICEX SLICE_X41Y59
INT_BRAM
INT_BRAM_X25Y59
TIEOFF TIEOFF_X45Y118
INT_INTERFACE
INT_INTERFACE_X25Y59
NULL
NULL_X60Y65
INT
INT_X26Y59
TIEOFF TIEOFF_X46Y118
CLEXL
CLEXL_X26Y59
SLICEL SLICE_X42Y59
SLICEX SLICE_X43Y59
INT
INT_X27Y59
TIEOFF TIEOFF_X48Y118
CLEXM
CLEXM_X27Y59
SLICEM SLICE_X44Y59
SLICEX SLICE_X45Y59
INT
INT_X28Y59
TIEOFF TIEOFF_X50Y118
CLEXL
CLEXL_X28Y59
SLICEL SLICE_X46Y59
SLICEX SLICE_X47Y59
INT
INT_X29Y59
TIEOFF TIEOFF_X51Y118
CLEXM
CLEXM_X29Y59
SLICEM SLICE_X48Y59
SLICEX SLICE_X49Y59
INT
INT_X30Y59
TIEOFF TIEOFF_X53Y118
CLEXL
CLEXL_X30Y59
SLICEL SLICE_X50Y59
SLICEX SLICE_X51Y59
INT
INT_X31Y59
TIEOFF TIEOFF_X55Y118
INT_INTERFACE
INT_INTERFACE_X31Y59
NULL
NULL_X73Y65
INT
INT_X32Y59
TIEOFF TIEOFF_X56Y118
CLEXM
CLEXM_X32Y59
SLICEM SLICE_X52Y59
SLICEX SLICE_X53Y59
INT
INT_X33Y59
TIEOFF TIEOFF_X58Y118
CLEXL
CLEXL_X33Y59
SLICEL SLICE_X54Y59
SLICEX SLICE_X55Y59
INT_BRAM
INT_BRAM_X34Y59
TIEOFF TIEOFF_X60Y118
INT_INTERFACE
INT_INTERFACE_X34Y59
NULL
NULL_X80Y65
INT
INT_X35Y59
TIEOFF TIEOFF_X61Y118
CLEXM
CLEXM_X35Y59
SLICEM SLICE_X56Y59
SLICEX SLICE_X57Y59
INT
INT_X36Y59
TIEOFF TIEOFF_X63Y118
CLEXL
CLEXL_X36Y59
SLICEL SLICE_X58Y59
SLICEX SLICE_X59Y59
INT
INT_X37Y59
TIEOFF TIEOFF_X65Y118
INT_INTERFACE
INT_INTERFACE_X37Y59
MCB_MUI0R
MCB_MUI0R_X37Y59
IOI_RTERM
IOI_RTERM_X88Y65
EMP_RIOB
EMP_RIOB_X37Y59
LIOB
LIOB_X0Y58
IOBM N3
IOBS N1
IOI_LTERM
IOI_LTERM_X1Y64
LIOI_INT
LIOI_INT_X0Y58
TIEOFF TIEOFF_X0Y116
LIOI
LIOI_X0Y58
OLOGIC2 OLOGIC_X0Y52
IODELAY2 IODELAY_X0Y52
ILOGIC2 ILOGIC_X0Y52
OLOGIC2 OLOGIC_X0Y53
IODELAY2 IODELAY_X0Y53
ILOGIC2 ILOGIC_X0Y53
TIEOFF TIEOFF_X1Y116
MCB_INT_DQI
MCB_INT_DQI_X0Y58
TIEOFF TIEOFF_X1Y117
INT
INT_X1Y58
TIEOFF TIEOFF_X2Y116
CLEXL
CLEXL_X1Y58
SLICEL SLICE_X0Y58
SLICEX SLICE_X1Y58
INT
INT_X2Y58
TIEOFF TIEOFF_X4Y116
CLEXM
CLEXM_X2Y58
SLICEM SLICE_X2Y58
SLICEX SLICE_X3Y58
INT_BRAM
INT_BRAM_X3Y58
TIEOFF TIEOFF_X6Y116
INT_INTERFACE
INT_INTERFACE_X3Y58
NULL
NULL_X11Y64
INT
INT_X4Y58
TIEOFF TIEOFF_X7Y116
CLEXL
CLEXL_X4Y58
SLICEL SLICE_X4Y58
SLICEX SLICE_X5Y58
INT
INT_X5Y58
TIEOFF TIEOFF_X9Y116
CLEXM
CLEXM_X5Y58
SLICEM SLICE_X6Y58
SLICEX SLICE_X7Y58
INT
INT_X6Y58
TIEOFF TIEOFF_X11Y116
INT_INTERFACE
INT_INTERFACE_X6Y58
NULL
NULL_X18Y64
INT
INT_X7Y58
TIEOFF TIEOFF_X12Y116
CLEXL
CLEXL_X7Y58
SLICEL SLICE_X8Y58
SLICEX SLICE_X9Y58
INT
INT_X8Y58
TIEOFF TIEOFF_X14Y116
CLEXM
CLEXM_X8Y58
SLICEM SLICE_X10Y58
SLICEX SLICE_X11Y58
INT
INT_X9Y58
TIEOFF TIEOFF_X16Y116
CLEXL
CLEXL_X9Y58
SLICEL SLICE_X12Y58
SLICEX SLICE_X13Y58
INT
INT_X10Y58
TIEOFF TIEOFF_X17Y116
CLEXM
CLEXM_X10Y58
SLICEM SLICE_X14Y58
SLICEX SLICE_X15Y58
INT
INT_X11Y58
TIEOFF TIEOFF_X19Y116
CLEXL
CLEXL_X11Y58
SLICEL SLICE_X16Y58
SLICEX SLICE_X17Y58
INT_BRAM
INT_BRAM_X12Y58
TIEOFF TIEOFF_X21Y116
INT_INTERFACE
INT_INTERFACE_X12Y58
NULL
NULL_X31Y64
INT
INT_X13Y58
TIEOFF TIEOFF_X22Y116
CLEXM
CLEXM_X13Y58
SLICEM SLICE_X18Y58
SLICEX SLICE_X19Y58
INT
INT_X14Y58
TIEOFF TIEOFF_X24Y116
CLEXL
CLEXL_X14Y58
SLICEL SLICE_X20Y58
SLICEX SLICE_X21Y58
INT
INT_X15Y58
TIEOFF TIEOFF_X26Y116
CLEXM
CLEXM_X15Y58
SLICEM SLICE_X22Y58
SLICEX SLICE_X23Y58
INT
INT_X16Y58
TIEOFF TIEOFF_X28Y116
CLEXL
CLEXL_X16Y58
SLICEL SLICE_X24Y58
SLICEX SLICE_X25Y58
INT
INT_X17Y58
TIEOFF TIEOFF_X29Y116
CLEXM
CLEXM_X17Y58
SLICEM SLICE_X26Y58
SLICEX SLICE_X27Y58
INT
INT_X18Y58
TIEOFF TIEOFF_X31Y116
CLEXL
CLEXL_X18Y58
SLICEL SLICE_X28Y58
SLICEX SLICE_X29Y58
NULL
NULL_X44Y64
REG_V
REG_V_X18Y58
INT
INT_X19Y58
TIEOFF TIEOFF_X34Y116
CLEXM
CLEXM_X19Y58
SLICEM SLICE_X30Y58
SLICEX SLICE_X31Y58
INT
INT_X20Y58
TIEOFF TIEOFF_X36Y116
CLEXL
CLEXL_X20Y58
SLICEL SLICE_X32Y58
SLICEX SLICE_X33Y58
INT
INT_X21Y58
TIEOFF TIEOFF_X38Y116
CLEXM
CLEXM_X21Y58
SLICEM SLICE_X34Y58
SLICEX SLICE_X35Y58
INT
INT_X22Y58
TIEOFF TIEOFF_X39Y116
CLEXL
CLEXL_X22Y58
SLICEL SLICE_X36Y58
SLICEX SLICE_X37Y58
INT
INT_X23Y58
TIEOFF TIEOFF_X41Y116
CLEXM
CLEXM_X23Y58
SLICEM SLICE_X38Y58
SLICEX SLICE_X39Y58
INT
INT_X24Y58
TIEOFF TIEOFF_X43Y116
CLEXL
CLEXL_X24Y58
SLICEL SLICE_X40Y58
SLICEX SLICE_X41Y58
INT_BRAM
INT_BRAM_X25Y58
TIEOFF TIEOFF_X45Y116
INT_INTERFACE
INT_INTERFACE_X25Y58
NULL
NULL_X60Y64
INT
INT_X26Y58
TIEOFF TIEOFF_X46Y116
CLEXL
CLEXL_X26Y58
SLICEL SLICE_X42Y58
SLICEX SLICE_X43Y58
INT
INT_X27Y58
TIEOFF TIEOFF_X48Y116
CLEXM
CLEXM_X27Y58
SLICEM SLICE_X44Y58
SLICEX SLICE_X45Y58
INT
INT_X28Y58
TIEOFF TIEOFF_X50Y116
CLEXL
CLEXL_X28Y58
SLICEL SLICE_X46Y58
SLICEX SLICE_X47Y58
INT
INT_X29Y58
TIEOFF TIEOFF_X51Y116
CLEXM
CLEXM_X29Y58
SLICEM SLICE_X48Y58
SLICEX SLICE_X49Y58
INT
INT_X30Y58
TIEOFF TIEOFF_X53Y116
CLEXL
CLEXL_X30Y58
SLICEL SLICE_X50Y58
SLICEX SLICE_X51Y58
INT
INT_X31Y58
TIEOFF TIEOFF_X55Y116
INT_INTERFACE
INT_INTERFACE_X31Y58
NULL
NULL_X73Y64
INT
INT_X32Y58
TIEOFF TIEOFF_X56Y116
CLEXM
CLEXM_X32Y58
SLICEM SLICE_X52Y58
SLICEX SLICE_X53Y58
INT
INT_X33Y58
TIEOFF TIEOFF_X58Y116
CLEXL
CLEXL_X33Y58
SLICEL SLICE_X54Y58
SLICEX SLICE_X55Y58
INT_BRAM
INT_BRAM_X34Y58
TIEOFF TIEOFF_X60Y116
INT_INTERFACE
INT_INTERFACE_X34Y58
NULL
NULL_X80Y64
INT
INT_X35Y58
TIEOFF TIEOFF_X61Y116
CLEXM
CLEXM_X35Y58
SLICEM SLICE_X56Y58
SLICEX SLICE_X57Y58
INT
INT_X36Y58
TIEOFF TIEOFF_X63Y116
CLEXL
CLEXL_X36Y58
SLICEL SLICE_X58Y58
SLICEX SLICE_X59Y58
IOI_INT
IOI_INT_X37Y58
TIEOFF TIEOFF_X65Y116
RIOI
RIOI_X37Y58
OLOGIC2 OLOGIC_X27Y52
IODELAY2 IODELAY_X27Y52
ILOGIC2 ILOGIC_X27Y52
OLOGIC2 OLOGIC_X27Y53
IODELAY2 IODELAY_X27Y53
ILOGIC2 ILOGIC_X27Y53
TIEOFF TIEOFF_X66Y116
MCB_INT_DQI
MCB_INT_DQI_X37Y58
TIEOFF TIEOFF_X66Y117
IOI_RTERM
IOI_RTERM_X88Y64
RIOB
RIOB_X37Y58
IOBS N22
IOBM N20
EMP_LIOB
EMP_LIOB_X0Y63
IOI_LTERM
IOI_LTERM_X1Y63
INT
INT_X0Y57
TIEOFF TIEOFF_X0Y114
INT_INTERFACE
INT_INTERFACE_X0Y57
NULL
NULL_X4Y63
INT
INT_X1Y57
TIEOFF TIEOFF_X2Y114
CLEXL
CLEXL_X1Y57
SLICEL SLICE_X0Y57
SLICEX SLICE_X1Y57
INT
INT_X2Y57
TIEOFF TIEOFF_X4Y114
CLEXM
CLEXM_X2Y57
SLICEM SLICE_X2Y57
SLICEX SLICE_X3Y57
INT_BRAM
INT_BRAM_X3Y57
TIEOFF TIEOFF_X6Y114
INT_INTERFACE
INT_INTERFACE_X3Y57
NULL
NULL_X11Y63
INT
INT_X4Y57
TIEOFF TIEOFF_X7Y114
CLEXL
CLEXL_X4Y57
SLICEL SLICE_X4Y57
SLICEX SLICE_X5Y57
INT
INT_X5Y57
TIEOFF TIEOFF_X9Y114
CLEXM
CLEXM_X5Y57
SLICEM SLICE_X6Y57
SLICEX SLICE_X7Y57
INT
INT_X6Y57
TIEOFF TIEOFF_X11Y114
INT_INTERFACE
INT_INTERFACE_X6Y57
NULL
NULL_X18Y63
INT
INT_X7Y57
TIEOFF TIEOFF_X12Y114
CLEXL
CLEXL_X7Y57
SLICEL SLICE_X8Y57
SLICEX SLICE_X9Y57
INT
INT_X8Y57
TIEOFF TIEOFF_X14Y114
CLEXM
CLEXM_X8Y57
SLICEM SLICE_X10Y57
SLICEX SLICE_X11Y57
INT
INT_X9Y57
TIEOFF TIEOFF_X16Y114
CLEXL
CLEXL_X9Y57
SLICEL SLICE_X12Y57
SLICEX SLICE_X13Y57
INT
INT_X10Y57
TIEOFF TIEOFF_X17Y114
CLEXM
CLEXM_X10Y57
SLICEM SLICE_X14Y57
SLICEX SLICE_X15Y57
INT
INT_X11Y57
TIEOFF TIEOFF_X19Y114
CLEXL
CLEXL_X11Y57
SLICEL SLICE_X16Y57
SLICEX SLICE_X17Y57
INT_BRAM
INT_BRAM_X12Y57
TIEOFF TIEOFF_X21Y114
INT_INTERFACE
INT_INTERFACE_X12Y57
NULL
NULL_X31Y63
INT
INT_X13Y57
TIEOFF TIEOFF_X22Y114
CLEXM
CLEXM_X13Y57
SLICEM SLICE_X18Y57
SLICEX SLICE_X19Y57
INT
INT_X14Y57
TIEOFF TIEOFF_X24Y114
CLEXL
CLEXL_X14Y57
SLICEL SLICE_X20Y57
SLICEX SLICE_X21Y57
INT
INT_X15Y57
TIEOFF TIEOFF_X26Y114
CLEXM
CLEXM_X15Y57
SLICEM SLICE_X22Y57
SLICEX SLICE_X23Y57
INT
INT_X16Y57
TIEOFF TIEOFF_X28Y114
CLEXL
CLEXL_X16Y57
SLICEL SLICE_X24Y57
SLICEX SLICE_X25Y57
INT
INT_X17Y57
TIEOFF TIEOFF_X29Y114
CLEXM
CLEXM_X17Y57
SLICEM SLICE_X26Y57
SLICEX SLICE_X27Y57
INT
INT_X18Y57
TIEOFF TIEOFF_X31Y114
CLEXL
CLEXL_X18Y57
SLICEL SLICE_X28Y57
SLICEX SLICE_X29Y57
NULL
NULL_X44Y63
REG_V
REG_V_X18Y57
INT
INT_X19Y57
TIEOFF TIEOFF_X34Y114
CLEXM
CLEXM_X19Y57
SLICEM SLICE_X30Y57
SLICEX SLICE_X31Y57
INT
INT_X20Y57
TIEOFF TIEOFF_X36Y114
CLEXL
CLEXL_X20Y57
SLICEL SLICE_X32Y57
SLICEX SLICE_X33Y57
INT
INT_X21Y57
TIEOFF TIEOFF_X38Y114
CLEXM
CLEXM_X21Y57
SLICEM SLICE_X34Y57
SLICEX SLICE_X35Y57
INT
INT_X22Y57
TIEOFF TIEOFF_X39Y114
CLEXL
CLEXL_X22Y57
SLICEL SLICE_X36Y57
SLICEX SLICE_X37Y57
INT
INT_X23Y57
TIEOFF TIEOFF_X41Y114
CLEXM
CLEXM_X23Y57
SLICEM SLICE_X38Y57
SLICEX SLICE_X39Y57
INT
INT_X24Y57
TIEOFF TIEOFF_X43Y114
CLEXL
CLEXL_X24Y57
SLICEL SLICE_X40Y57
SLICEX SLICE_X41Y57
INT_BRAM
INT_BRAM_X25Y57
TIEOFF TIEOFF_X45Y114
INT_INTERFACE
INT_INTERFACE_X25Y57
NULL
NULL_X60Y63
INT
INT_X26Y57
TIEOFF TIEOFF_X46Y114
CLEXL
CLEXL_X26Y57
SLICEL SLICE_X42Y57
SLICEX SLICE_X43Y57
INT
INT_X27Y57
TIEOFF TIEOFF_X48Y114
CLEXM
CLEXM_X27Y57
SLICEM SLICE_X44Y57
SLICEX SLICE_X45Y57
INT
INT_X28Y57
TIEOFF TIEOFF_X50Y114
CLEXL
CLEXL_X28Y57
SLICEL SLICE_X46Y57
SLICEX SLICE_X47Y57
INT
INT_X29Y57
TIEOFF TIEOFF_X51Y114
CLEXM
CLEXM_X29Y57
SLICEM SLICE_X48Y57
SLICEX SLICE_X49Y57
INT
INT_X30Y57
TIEOFF TIEOFF_X53Y114
CLEXL
CLEXL_X30Y57
SLICEL SLICE_X50Y57
SLICEX SLICE_X51Y57
INT
INT_X31Y57
TIEOFF TIEOFF_X55Y114
INT_INTERFACE
INT_INTERFACE_X31Y57
NULL
NULL_X73Y63
INT
INT_X32Y57
TIEOFF TIEOFF_X56Y114
CLEXM
CLEXM_X32Y57
SLICEM SLICE_X52Y57
SLICEX SLICE_X53Y57
INT
INT_X33Y57
TIEOFF TIEOFF_X58Y114
CLEXL
CLEXL_X33Y57
SLICEL SLICE_X54Y57
SLICEX SLICE_X55Y57
INT_BRAM
INT_BRAM_X34Y57
TIEOFF TIEOFF_X60Y114
INT_INTERFACE
INT_INTERFACE_X34Y57
NULL
NULL_X80Y63
INT
INT_X35Y57
TIEOFF TIEOFF_X61Y114
CLEXM
CLEXM_X35Y57
SLICEM SLICE_X56Y57
SLICEX SLICE_X57Y57
INT
INT_X36Y57
TIEOFF TIEOFF_X63Y114
CLEXL
CLEXL_X36Y57
SLICEL SLICE_X58Y57
SLICEX SLICE_X59Y57
INT
INT_X37Y57
TIEOFF TIEOFF_X65Y114
INT_INTERFACE
INT_INTERFACE_X37Y57
NULL
NULL_X87Y63
IOI_RTERM
IOI_RTERM_X88Y63
EMP_RIOB
EMP_RIOB_X37Y57
EMP_LIOB
EMP_LIOB_X0Y62
IOI_LTERM
IOI_LTERM_X1Y62
INT
INT_X0Y56
TIEOFF TIEOFF_X0Y112
INT_INTERFACE
INT_INTERFACE_X0Y56
MCB_MUI0W
MCB_MUI0W_X0Y56
INT
INT_X1Y56
TIEOFF TIEOFF_X2Y112
CLEXL
CLEXL_X1Y56
SLICEL SLICE_X0Y56
SLICEX SLICE_X1Y56
INT
INT_X2Y56
TIEOFF TIEOFF_X4Y112
CLEXM
CLEXM_X2Y56
SLICEM SLICE_X2Y56
SLICEX SLICE_X3Y56
INT_BRAM
INT_BRAM_X3Y56
TIEOFF TIEOFF_X6Y112
INT_INTERFACE
INT_INTERFACE_X3Y56
BRAMSITE2
BRAMSITE2_X3Y56
RAMB16BWER RAMB16_X0Y28
RAMB8BWER RAMB8_X0Y28
RAMB8BWER RAMB8_X0Y29
INT
INT_X4Y56
TIEOFF TIEOFF_X7Y112
CLEXL
CLEXL_X4Y56
SLICEL SLICE_X4Y56
SLICEX SLICE_X5Y56
INT
INT_X5Y56
TIEOFF TIEOFF_X9Y112
CLEXM
CLEXM_X5Y56
SLICEM SLICE_X6Y56
SLICEX SLICE_X7Y56
INT
INT_X6Y56
TIEOFF TIEOFF_X11Y112
INT_INTERFACE
INT_INTERFACE_X6Y56
MACCSITE2
MACCSITE2_X6Y56
DSP48A1 DSP48_X0Y14
INT
INT_X7Y56
TIEOFF TIEOFF_X12Y112
CLEXL
CLEXL_X7Y56
SLICEL SLICE_X8Y56
SLICEX SLICE_X9Y56
INT
INT_X8Y56
TIEOFF TIEOFF_X14Y112
CLEXM
CLEXM_X8Y56
SLICEM SLICE_X10Y56
SLICEX SLICE_X11Y56
INT
INT_X9Y56
TIEOFF TIEOFF_X16Y112
CLEXL
CLEXL_X9Y56
SLICEL SLICE_X12Y56
SLICEX SLICE_X13Y56
INT
INT_X10Y56
TIEOFF TIEOFF_X17Y112
CLEXM
CLEXM_X10Y56
SLICEM SLICE_X14Y56
SLICEX SLICE_X15Y56
INT
INT_X11Y56
TIEOFF TIEOFF_X19Y112
CLEXL
CLEXL_X11Y56
SLICEL SLICE_X16Y56
SLICEX SLICE_X17Y56
INT_BRAM
INT_BRAM_X12Y56
TIEOFF TIEOFF_X21Y112
INT_INTERFACE
INT_INTERFACE_X12Y56
BRAMSITE2
BRAMSITE2_X12Y56
RAMB16BWER RAMB16_X1Y28
RAMB8BWER RAMB8_X1Y28
RAMB8BWER RAMB8_X1Y29
INT
INT_X13Y56
TIEOFF TIEOFF_X22Y112
CLEXM
CLEXM_X13Y56
SLICEM SLICE_X18Y56
SLICEX SLICE_X19Y56
INT
INT_X14Y56
TIEOFF TIEOFF_X24Y112
CLEXL
CLEXL_X14Y56
SLICEL SLICE_X20Y56
SLICEX SLICE_X21Y56
INT
INT_X15Y56
TIEOFF TIEOFF_X26Y112
CLEXM
CLEXM_X15Y56
SLICEM SLICE_X22Y56
SLICEX SLICE_X23Y56
INT
INT_X16Y56
TIEOFF TIEOFF_X28Y112
CLEXL
CLEXL_X16Y56
SLICEL SLICE_X24Y56
SLICEX SLICE_X25Y56
INT
INT_X17Y56
TIEOFF TIEOFF_X29Y112
CLEXM
CLEXM_X17Y56
SLICEM SLICE_X26Y56
SLICEX SLICE_X27Y56
IOI_INT
IOI_INT_X18Y56
TIEOFF TIEOFF_X31Y112
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y56
CMT_PLL2_BOT
CMT_PLL2_BOT_X18Y56
TIEOFF TIEOFF_X33Y113
PLL_ADV PLL_ADV_X0Y1
REG_V
REG_V_X18Y56
INT
INT_X19Y56
TIEOFF TIEOFF_X34Y112
CLEXM
CLEXM_X19Y56
SLICEM SLICE_X30Y56
SLICEX SLICE_X31Y56
INT
INT_X20Y56
TIEOFF TIEOFF_X36Y112
CLEXL
CLEXL_X20Y56
SLICEL SLICE_X32Y56
SLICEX SLICE_X33Y56
INT
INT_X21Y56
TIEOFF TIEOFF_X38Y112
CLEXM
CLEXM_X21Y56
SLICEM SLICE_X34Y56
SLICEX SLICE_X35Y56
INT
INT_X22Y56
TIEOFF TIEOFF_X39Y112
CLEXL
CLEXL_X22Y56
SLICEL SLICE_X36Y56
SLICEX SLICE_X37Y56
INT
INT_X23Y56
TIEOFF TIEOFF_X41Y112
CLEXM
CLEXM_X23Y56
SLICEM SLICE_X38Y56
SLICEX SLICE_X39Y56
INT
INT_X24Y56
TIEOFF TIEOFF_X43Y112
CLEXL
CLEXL_X24Y56
SLICEL SLICE_X40Y56
SLICEX SLICE_X41Y56
INT_BRAM
INT_BRAM_X25Y56
TIEOFF TIEOFF_X45Y112
INT_INTERFACE
INT_INTERFACE_X25Y56
BRAMSITE2
BRAMSITE2_X25Y56
RAMB16BWER RAMB16_X2Y28
RAMB8BWER RAMB8_X2Y28
RAMB8BWER RAMB8_X2Y29
INT
INT_X26Y56
TIEOFF TIEOFF_X46Y112
CLEXL
CLEXL_X26Y56
SLICEL SLICE_X42Y56
SLICEX SLICE_X43Y56
INT
INT_X27Y56
TIEOFF TIEOFF_X48Y112
CLEXM
CLEXM_X27Y56
SLICEM SLICE_X44Y56
SLICEX SLICE_X45Y56
INT
INT_X28Y56
TIEOFF TIEOFF_X50Y112
CLEXL
CLEXL_X28Y56
SLICEL SLICE_X46Y56
SLICEX SLICE_X47Y56
INT
INT_X29Y56
TIEOFF TIEOFF_X51Y112
CLEXM
CLEXM_X29Y56
SLICEM SLICE_X48Y56
SLICEX SLICE_X49Y56
INT
INT_X30Y56
TIEOFF TIEOFF_X53Y112
CLEXL
CLEXL_X30Y56
SLICEL SLICE_X50Y56
SLICEX SLICE_X51Y56
INT
INT_X31Y56
TIEOFF TIEOFF_X55Y112
INT_INTERFACE
INT_INTERFACE_X31Y56
MACCSITE2
MACCSITE2_X31Y56
DSP48A1 DSP48_X1Y14
INT
INT_X32Y56
TIEOFF TIEOFF_X56Y112
CLEXM
CLEXM_X32Y56
SLICEM SLICE_X52Y56
SLICEX SLICE_X53Y56
INT
INT_X33Y56
TIEOFF TIEOFF_X58Y112
CLEXL
CLEXL_X33Y56
SLICEL SLICE_X54Y56
SLICEX SLICE_X55Y56
INT_BRAM
INT_BRAM_X34Y56
TIEOFF TIEOFF_X60Y112
INT_INTERFACE
INT_INTERFACE_X34Y56
BRAMSITE2
BRAMSITE2_X34Y56
RAMB16BWER RAMB16_X3Y28
RAMB8BWER RAMB8_X3Y28
RAMB8BWER RAMB8_X3Y29
INT
INT_X35Y56
TIEOFF TIEOFF_X61Y112
CLEXM
CLEXM_X35Y56
SLICEM SLICE_X56Y56
SLICEX SLICE_X57Y56
INT
INT_X36Y56
TIEOFF TIEOFF_X63Y112
CLEXL
CLEXL_X36Y56
SLICEL SLICE_X58Y56
SLICEX SLICE_X59Y56
INT
INT_X37Y56
TIEOFF TIEOFF_X65Y112
INT_INTERFACE
INT_INTERFACE_X37Y56
MCB_MUI0W
MCB_MUI0W_X37Y56
IOI_RTERM
IOI_RTERM_X88Y62
EMP_RIOB
EMP_RIOB_X37Y56
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y61
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y61
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y55
HCLK_IOIL_BOT_UP
HCLK_IOIL_BOT_UP_X0Y55
MCB_HCLK
MCB_HCLK_X0Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y55
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y55
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y55
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y55
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y55
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y55
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y55
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y55
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y55
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y55
NULL
NULL_X44Y61
REG_V_HCLK
REG_V_HCLK_X44Y61
BUFH BUFH_X0Y127
BUFH BUFH_X0Y126
BUFH BUFH_X0Y125
BUFH BUFH_X0Y124
BUFH BUFH_X0Y123
BUFH BUFH_X0Y122
BUFH BUFH_X0Y121
BUFH BUFH_X0Y120
BUFH BUFH_X0Y119
BUFH BUFH_X0Y118
BUFH BUFH_X0Y117
BUFH BUFH_X0Y116
BUFH BUFH_X0Y115
BUFH BUFH_X0Y114
BUFH BUFH_X0Y113
BUFH BUFH_X0Y112
BUFH BUFH_X3Y111
BUFH BUFH_X3Y110
BUFH BUFH_X3Y109
BUFH BUFH_X3Y108
BUFH BUFH_X3Y107
BUFH BUFH_X3Y106
BUFH BUFH_X3Y105
BUFH BUFH_X3Y104
BUFH BUFH_X3Y103
BUFH BUFH_X3Y102
BUFH BUFH_X3Y101
BUFH BUFH_X3Y100
BUFH BUFH_X3Y99
BUFH BUFH_X3Y98
BUFH BUFH_X3Y97
BUFH BUFH_X3Y96
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y55
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y55
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y55
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y55
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y55
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y55
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y55
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y55
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y55
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y55
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y55
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y55
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y55
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y55
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y55
HCLK_IOIR_BOT_UP
HCLK_IOIR_BOT_UP_X37Y55
MCB_HCLK
MCB_HCLK_X37Y55
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y61
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y61
LIOB
LIOB_X0Y55
IOBM P2
IOBS P1
IOI_LTERM
IOI_LTERM_X1Y60
LIOI_INT
LIOI_INT_X0Y55
TIEOFF TIEOFF_X0Y110
LIOI
LIOI_X0Y55
OLOGIC2 OLOGIC_X0Y50
IODELAY2 IODELAY_X0Y50
ILOGIC2 ILOGIC_X0Y50
OLOGIC2 OLOGIC_X0Y51
IODELAY2 IODELAY_X0Y51
ILOGIC2 ILOGIC_X0Y51
TIEOFF TIEOFF_X1Y110
MCB_INT_BOT
MCB_INT_BOT_X0Y55
INT
INT_X1Y55
TIEOFF TIEOFF_X2Y110
CLEXL
CLEXL_X1Y55
SLICEL SLICE_X0Y55
SLICEX SLICE_X1Y55
INT
INT_X2Y55
TIEOFF TIEOFF_X4Y110
CLEXM
CLEXM_X2Y55
SLICEM SLICE_X2Y55
SLICEX SLICE_X3Y55
INT_BRAM
INT_BRAM_X3Y55
TIEOFF TIEOFF_X6Y110
INT_INTERFACE
INT_INTERFACE_X3Y55
NULL
NULL_X11Y60
INT
INT_X4Y55
TIEOFF TIEOFF_X7Y110
CLEXL
CLEXL_X4Y55
SLICEL SLICE_X4Y55
SLICEX SLICE_X5Y55
INT
INT_X5Y55
TIEOFF TIEOFF_X9Y110
CLEXM
CLEXM_X5Y55
SLICEM SLICE_X6Y55
SLICEX SLICE_X7Y55
INT
INT_X6Y55
TIEOFF TIEOFF_X11Y110
INT_INTERFACE
INT_INTERFACE_X6Y55
NULL
NULL_X18Y60
INT
INT_X7Y55
TIEOFF TIEOFF_X12Y110
CLEXL
CLEXL_X7Y55
SLICEL SLICE_X8Y55
SLICEX SLICE_X9Y55
INT
INT_X8Y55
TIEOFF TIEOFF_X14Y110
CLEXM
CLEXM_X8Y55
SLICEM SLICE_X10Y55
SLICEX SLICE_X11Y55
INT
INT_X9Y55
TIEOFF TIEOFF_X16Y110
CLEXL
CLEXL_X9Y55
SLICEL SLICE_X12Y55
SLICEX SLICE_X13Y55
INT
INT_X10Y55
TIEOFF TIEOFF_X17Y110
CLEXM
CLEXM_X10Y55
SLICEM SLICE_X14Y55
SLICEX SLICE_X15Y55
INT
INT_X11Y55
TIEOFF TIEOFF_X19Y110
CLEXL
CLEXL_X11Y55
SLICEL SLICE_X16Y55
SLICEX SLICE_X17Y55
INT_BRAM
INT_BRAM_X12Y55
TIEOFF TIEOFF_X21Y110
INT_INTERFACE
INT_INTERFACE_X12Y55
NULL
NULL_X31Y60
INT
INT_X13Y55
TIEOFF TIEOFF_X22Y110
CLEXM
CLEXM_X13Y55
SLICEM SLICE_X18Y55
SLICEX SLICE_X19Y55
INT
INT_X14Y55
TIEOFF TIEOFF_X24Y110
CLEXL
CLEXL_X14Y55
SLICEL SLICE_X20Y55
SLICEX SLICE_X21Y55
INT
INT_X15Y55
TIEOFF TIEOFF_X26Y110
CLEXM
CLEXM_X15Y55
SLICEM SLICE_X22Y55
SLICEX SLICE_X23Y55
INT
INT_X16Y55
TIEOFF TIEOFF_X28Y110
CLEXL
CLEXL_X16Y55
SLICEL SLICE_X24Y55
SLICEX SLICE_X25Y55
INT
INT_X17Y55
TIEOFF TIEOFF_X29Y110
CLEXM
CLEXM_X17Y55
SLICEM SLICE_X26Y55
SLICEX SLICE_X27Y55
INT
INT_X18Y55
TIEOFF TIEOFF_X31Y110
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X18Y55
NULL
NULL_X44Y60
REG_V_MEMB_BOT
REG_V_MEMB_BOT_X18Y55
INT
INT_X19Y55
TIEOFF TIEOFF_X34Y110
CLEXM
CLEXM_X19Y55
SLICEM SLICE_X30Y55
SLICEX SLICE_X31Y55
INT
INT_X20Y55
TIEOFF TIEOFF_X36Y110
CLEXL
CLEXL_X20Y55
SLICEL SLICE_X32Y55
SLICEX SLICE_X33Y55
INT
INT_X21Y55
TIEOFF TIEOFF_X38Y110
CLEXM
CLEXM_X21Y55
SLICEM SLICE_X34Y55
SLICEX SLICE_X35Y55
INT
INT_X22Y55
TIEOFF TIEOFF_X39Y110
CLEXL
CLEXL_X22Y55
SLICEL SLICE_X36Y55
SLICEX SLICE_X37Y55
INT
INT_X23Y55
TIEOFF TIEOFF_X41Y110
CLEXM
CLEXM_X23Y55
SLICEM SLICE_X38Y55
SLICEX SLICE_X39Y55
INT
INT_X24Y55
TIEOFF TIEOFF_X43Y110
CLEXL
CLEXL_X24Y55
SLICEL SLICE_X40Y55
SLICEX SLICE_X41Y55
INT_BRAM
INT_BRAM_X25Y55
TIEOFF TIEOFF_X45Y110
INT_INTERFACE
INT_INTERFACE_X25Y55
NULL
NULL_X60Y60
INT
INT_X26Y55
TIEOFF TIEOFF_X46Y110
CLEXL
CLEXL_X26Y55
SLICEL SLICE_X42Y55
SLICEX SLICE_X43Y55
INT
INT_X27Y55
TIEOFF TIEOFF_X48Y110
CLEXM
CLEXM_X27Y55
SLICEM SLICE_X44Y55
SLICEX SLICE_X45Y55
INT
INT_X28Y55
TIEOFF TIEOFF_X50Y110
CLEXL
CLEXL_X28Y55
SLICEL SLICE_X46Y55
SLICEX SLICE_X47Y55
INT
INT_X29Y55
TIEOFF TIEOFF_X51Y110
CLEXM
CLEXM_X29Y55
SLICEM SLICE_X48Y55
SLICEX SLICE_X49Y55
INT
INT_X30Y55
TIEOFF TIEOFF_X53Y110
CLEXL
CLEXL_X30Y55
SLICEL SLICE_X50Y55
SLICEX SLICE_X51Y55
INT
INT_X31Y55
TIEOFF TIEOFF_X55Y110
INT_INTERFACE
INT_INTERFACE_X31Y55
NULL
NULL_X73Y60
INT
INT_X32Y55
TIEOFF TIEOFF_X56Y110
CLEXM
CLEXM_X32Y55
SLICEM SLICE_X52Y55
SLICEX SLICE_X53Y55
INT
INT_X33Y55
TIEOFF TIEOFF_X58Y110
CLEXL
CLEXL_X33Y55
SLICEL SLICE_X54Y55
SLICEX SLICE_X55Y55
INT_BRAM
INT_BRAM_X34Y55
TIEOFF TIEOFF_X60Y110
INT_INTERFACE
INT_INTERFACE_X34Y55
NULL
NULL_X80Y60
INT
INT_X35Y55
TIEOFF TIEOFF_X61Y110
CLEXM
CLEXM_X35Y55
SLICEM SLICE_X56Y55
SLICEX SLICE_X57Y55
INT
INT_X36Y55
TIEOFF TIEOFF_X63Y110
CLEXL
CLEXL_X36Y55
SLICEL SLICE_X58Y55
SLICEX SLICE_X59Y55
IOI_INT
IOI_INT_X37Y55
TIEOFF TIEOFF_X65Y110
RIOI
RIOI_X37Y55
OLOGIC2 OLOGIC_X27Y50
IODELAY2 IODELAY_X27Y50
ILOGIC2 ILOGIC_X27Y50
OLOGIC2 OLOGIC_X27Y51
IODELAY2 IODELAY_X27Y51
ILOGIC2 ILOGIC_X27Y51
TIEOFF TIEOFF_X66Y110
MCB_INT_BOT
MCB_INT_BOT_X37Y55
IOI_RTERM
IOI_RTERM_X88Y60
RIOB
RIOB_X37Y55
IOBS P22
IOBM P21
EMP_LIOB
EMP_LIOB_X0Y59
IOI_LTERM
IOI_LTERM_X1Y59
INT
INT_X0Y54
TIEOFF TIEOFF_X0Y108
INT_INTERFACE
INT_INTERFACE_X0Y54
NULL
NULL_X4Y59
INT
INT_X1Y54
TIEOFF TIEOFF_X2Y108
CLEXL
CLEXL_X1Y54
SLICEL SLICE_X0Y54
SLICEX SLICE_X1Y54
INT
INT_X2Y54
TIEOFF TIEOFF_X4Y108
CLEXM
CLEXM_X2Y54
SLICEM SLICE_X2Y54
SLICEX SLICE_X3Y54
INT_BRAM
INT_BRAM_X3Y54
TIEOFF TIEOFF_X6Y108
INT_INTERFACE
INT_INTERFACE_X3Y54
NULL
NULL_X11Y59
INT
INT_X4Y54
TIEOFF TIEOFF_X7Y108
CLEXL
CLEXL_X4Y54
SLICEL SLICE_X4Y54
SLICEX SLICE_X5Y54
INT
INT_X5Y54
TIEOFF TIEOFF_X9Y108
CLEXM
CLEXM_X5Y54
SLICEM SLICE_X6Y54
SLICEX SLICE_X7Y54
INT
INT_X6Y54
TIEOFF TIEOFF_X11Y108
INT_INTERFACE
INT_INTERFACE_X6Y54
NULL
NULL_X18Y59
INT
INT_X7Y54
TIEOFF TIEOFF_X12Y108
CLEXL
CLEXL_X7Y54
SLICEL SLICE_X8Y54
SLICEX SLICE_X9Y54
INT
INT_X8Y54
TIEOFF TIEOFF_X14Y108
CLEXM
CLEXM_X8Y54
SLICEM SLICE_X10Y54
SLICEX SLICE_X11Y54
INT
INT_X9Y54
TIEOFF TIEOFF_X16Y108
CLEXL
CLEXL_X9Y54
SLICEL SLICE_X12Y54
SLICEX SLICE_X13Y54
INT
INT_X10Y54
TIEOFF TIEOFF_X17Y108
CLEXM
CLEXM_X10Y54
SLICEM SLICE_X14Y54
SLICEX SLICE_X15Y54
INT
INT_X11Y54
TIEOFF TIEOFF_X19Y108
CLEXL
CLEXL_X11Y54
SLICEL SLICE_X16Y54
SLICEX SLICE_X17Y54
INT_BRAM
INT_BRAM_X12Y54
TIEOFF TIEOFF_X21Y108
INT_INTERFACE
INT_INTERFACE_X12Y54
NULL
NULL_X31Y59
INT
INT_X13Y54
TIEOFF TIEOFF_X22Y108
CLEXM
CLEXM_X13Y54
SLICEM SLICE_X18Y54
SLICEX SLICE_X19Y54
INT
INT_X14Y54
TIEOFF TIEOFF_X24Y108
CLEXL
CLEXL_X14Y54
SLICEL SLICE_X20Y54
SLICEX SLICE_X21Y54
INT
INT_X15Y54
TIEOFF TIEOFF_X26Y108
CLEXM
CLEXM_X15Y54
SLICEM SLICE_X22Y54
SLICEX SLICE_X23Y54
INT
INT_X16Y54
TIEOFF TIEOFF_X28Y108
CLEXL
CLEXL_X16Y54
SLICEL SLICE_X24Y54
SLICEX SLICE_X25Y54
INT
INT_X17Y54
TIEOFF TIEOFF_X29Y108
CLEXM
CLEXM_X17Y54
SLICEM SLICE_X26Y54
SLICEX SLICE_X27Y54
INT
INT_X18Y54
TIEOFF TIEOFF_X31Y108
CLEXL
CLEXL_X18Y54
SLICEL SLICE_X28Y54
SLICEX SLICE_X29Y54
NULL
NULL_X44Y59
REG_V
REG_V_X18Y54
INT
INT_X19Y54
TIEOFF TIEOFF_X34Y108
CLEXM
CLEXM_X19Y54
SLICEM SLICE_X30Y54
SLICEX SLICE_X31Y54
INT
INT_X20Y54
TIEOFF TIEOFF_X36Y108
CLEXL
CLEXL_X20Y54
SLICEL SLICE_X32Y54
SLICEX SLICE_X33Y54
INT
INT_X21Y54
TIEOFF TIEOFF_X38Y108
CLEXM
CLEXM_X21Y54
SLICEM SLICE_X34Y54
SLICEX SLICE_X35Y54
INT
INT_X22Y54
TIEOFF TIEOFF_X39Y108
CLEXL
CLEXL_X22Y54
SLICEL SLICE_X36Y54
SLICEX SLICE_X37Y54
INT
INT_X23Y54
TIEOFF TIEOFF_X41Y108
CLEXM
CLEXM_X23Y54
SLICEM SLICE_X38Y54
SLICEX SLICE_X39Y54
INT
INT_X24Y54
TIEOFF TIEOFF_X43Y108
CLEXL
CLEXL_X24Y54
SLICEL SLICE_X40Y54
SLICEX SLICE_X41Y54
INT_BRAM
INT_BRAM_X25Y54
TIEOFF TIEOFF_X45Y108
INT_INTERFACE
INT_INTERFACE_X25Y54
NULL
NULL_X60Y59
INT
INT_X26Y54
TIEOFF TIEOFF_X46Y108
CLEXL
CLEXL_X26Y54
SLICEL SLICE_X42Y54
SLICEX SLICE_X43Y54
INT
INT_X27Y54
TIEOFF TIEOFF_X48Y108
CLEXM
CLEXM_X27Y54
SLICEM SLICE_X44Y54
SLICEX SLICE_X45Y54
INT
INT_X28Y54
TIEOFF TIEOFF_X50Y108
CLEXL
CLEXL_X28Y54
SLICEL SLICE_X46Y54
SLICEX SLICE_X47Y54
INT
INT_X29Y54
TIEOFF TIEOFF_X51Y108
CLEXM
CLEXM_X29Y54
SLICEM SLICE_X48Y54
SLICEX SLICE_X49Y54
INT
INT_X30Y54
TIEOFF TIEOFF_X53Y108
CLEXL
CLEXL_X30Y54
SLICEL SLICE_X50Y54
SLICEX SLICE_X51Y54
INT
INT_X31Y54
TIEOFF TIEOFF_X55Y108
INT_INTERFACE
INT_INTERFACE_X31Y54
NULL
NULL_X73Y59
INT
INT_X32Y54
TIEOFF TIEOFF_X56Y108
CLEXM
CLEXM_X32Y54
SLICEM SLICE_X52Y54
SLICEX SLICE_X53Y54
INT
INT_X33Y54
TIEOFF TIEOFF_X58Y108
CLEXL
CLEXL_X33Y54
SLICEL SLICE_X54Y54
SLICEX SLICE_X55Y54
INT_BRAM
INT_BRAM_X34Y54
TIEOFF TIEOFF_X60Y108
INT_INTERFACE
INT_INTERFACE_X34Y54
NULL
NULL_X80Y59
INT
INT_X35Y54
TIEOFF TIEOFF_X61Y108
CLEXM
CLEXM_X35Y54
SLICEM SLICE_X56Y54
SLICEX SLICE_X57Y54
INT
INT_X36Y54
TIEOFF TIEOFF_X63Y108
CLEXL
CLEXL_X36Y54
SLICEL SLICE_X58Y54
SLICEX SLICE_X59Y54
INT
INT_X37Y54
TIEOFF TIEOFF_X65Y108
INT_INTERFACE
INT_INTERFACE_X37Y54
NULL
NULL_X87Y59
IOI_RTERM
IOI_RTERM_X88Y59
EMP_RIOB
EMP_RIOB_X37Y54
EMP_LIOB
EMP_LIOB_X0Y58
IOI_LTERM
IOI_LTERM_X1Y58
INT
INT_X0Y53
TIEOFF TIEOFF_X0Y106
INT_INTERFACE
INT_INTERFACE_X0Y53
MCB_MUI1R
MCB_MUI1R_X0Y53
INT
INT_X1Y53
TIEOFF TIEOFF_X2Y106
CLEXL
CLEXL_X1Y53
SLICEL SLICE_X0Y53
SLICEX SLICE_X1Y53
INT
INT_X2Y53
TIEOFF TIEOFF_X4Y106
CLEXM
CLEXM_X2Y53
SLICEM SLICE_X2Y53
SLICEX SLICE_X3Y53
INT_BRAM
INT_BRAM_X3Y53
TIEOFF TIEOFF_X6Y106
INT_INTERFACE
INT_INTERFACE_X3Y53
NULL
NULL_X11Y58
INT
INT_X4Y53
TIEOFF TIEOFF_X7Y106
CLEXL
CLEXL_X4Y53
SLICEL SLICE_X4Y53
SLICEX SLICE_X5Y53
INT
INT_X5Y53
TIEOFF TIEOFF_X9Y106
CLEXM
CLEXM_X5Y53
SLICEM SLICE_X6Y53
SLICEX SLICE_X7Y53
INT
INT_X6Y53
TIEOFF TIEOFF_X11Y106
INT_INTERFACE
INT_INTERFACE_X6Y53
NULL
NULL_X18Y58
INT
INT_X7Y53
TIEOFF TIEOFF_X12Y106
CLEXL
CLEXL_X7Y53
SLICEL SLICE_X8Y53
SLICEX SLICE_X9Y53
INT
INT_X8Y53
TIEOFF TIEOFF_X14Y106
CLEXM
CLEXM_X8Y53
SLICEM SLICE_X10Y53
SLICEX SLICE_X11Y53
INT
INT_X9Y53
TIEOFF TIEOFF_X16Y106
CLEXL
CLEXL_X9Y53
SLICEL SLICE_X12Y53
SLICEX SLICE_X13Y53
INT
INT_X10Y53
TIEOFF TIEOFF_X17Y106
CLEXM
CLEXM_X10Y53
SLICEM SLICE_X14Y53
SLICEX SLICE_X15Y53
INT
INT_X11Y53
TIEOFF TIEOFF_X19Y106
CLEXL
CLEXL_X11Y53
SLICEL SLICE_X16Y53
SLICEX SLICE_X17Y53
INT_BRAM
INT_BRAM_X12Y53
TIEOFF TIEOFF_X21Y106
INT_INTERFACE
INT_INTERFACE_X12Y53
NULL
NULL_X31Y58
INT
INT_X13Y53
TIEOFF TIEOFF_X22Y106
CLEXM
CLEXM_X13Y53
SLICEM SLICE_X18Y53
SLICEX SLICE_X19Y53
INT
INT_X14Y53
TIEOFF TIEOFF_X24Y106
CLEXL
CLEXL_X14Y53
SLICEL SLICE_X20Y53
SLICEX SLICE_X21Y53
INT
INT_X15Y53
TIEOFF TIEOFF_X26Y106
CLEXM
CLEXM_X15Y53
SLICEM SLICE_X22Y53
SLICEX SLICE_X23Y53
INT
INT_X16Y53
TIEOFF TIEOFF_X28Y106
CLEXL
CLEXL_X16Y53
SLICEL SLICE_X24Y53
SLICEX SLICE_X25Y53
INT
INT_X17Y53
TIEOFF TIEOFF_X29Y106
CLEXM
CLEXM_X17Y53
SLICEM SLICE_X26Y53
SLICEX SLICE_X27Y53
INT
INT_X18Y53
TIEOFF TIEOFF_X31Y106
CLEXL
CLEXL_X18Y53
SLICEL SLICE_X28Y53
SLICEX SLICE_X29Y53
NULL
NULL_X44Y58
REG_V
REG_V_X18Y53
INT
INT_X19Y53
TIEOFF TIEOFF_X34Y106
CLEXM
CLEXM_X19Y53
SLICEM SLICE_X30Y53
SLICEX SLICE_X31Y53
INT
INT_X20Y53
TIEOFF TIEOFF_X36Y106
CLEXL
CLEXL_X20Y53
SLICEL SLICE_X32Y53
SLICEX SLICE_X33Y53
INT
INT_X21Y53
TIEOFF TIEOFF_X38Y106
CLEXM
CLEXM_X21Y53
SLICEM SLICE_X34Y53
SLICEX SLICE_X35Y53
INT
INT_X22Y53
TIEOFF TIEOFF_X39Y106
CLEXL
CLEXL_X22Y53
SLICEL SLICE_X36Y53
SLICEX SLICE_X37Y53
INT
INT_X23Y53
TIEOFF TIEOFF_X41Y106
CLEXM
CLEXM_X23Y53
SLICEM SLICE_X38Y53
SLICEX SLICE_X39Y53
INT
INT_X24Y53
TIEOFF TIEOFF_X43Y106
CLEXL
CLEXL_X24Y53
SLICEL SLICE_X40Y53
SLICEX SLICE_X41Y53
INT_BRAM
INT_BRAM_X25Y53
TIEOFF TIEOFF_X45Y106
INT_INTERFACE
INT_INTERFACE_X25Y53
NULL
NULL_X60Y58
INT
INT_X26Y53
TIEOFF TIEOFF_X46Y106
CLEXL
CLEXL_X26Y53
SLICEL SLICE_X42Y53
SLICEX SLICE_X43Y53
INT
INT_X27Y53
TIEOFF TIEOFF_X48Y106
CLEXM
CLEXM_X27Y53
SLICEM SLICE_X44Y53
SLICEX SLICE_X45Y53
INT
INT_X28Y53
TIEOFF TIEOFF_X50Y106
CLEXL
CLEXL_X28Y53
SLICEL SLICE_X46Y53
SLICEX SLICE_X47Y53
INT
INT_X29Y53
TIEOFF TIEOFF_X51Y106
CLEXM
CLEXM_X29Y53
SLICEM SLICE_X48Y53
SLICEX SLICE_X49Y53
INT
INT_X30Y53
TIEOFF TIEOFF_X53Y106
CLEXL
CLEXL_X30Y53
SLICEL SLICE_X50Y53
SLICEX SLICE_X51Y53
INT
INT_X31Y53
TIEOFF TIEOFF_X55Y106
INT_INTERFACE
INT_INTERFACE_X31Y53
NULL
NULL_X73Y58
INT
INT_X32Y53
TIEOFF TIEOFF_X56Y106
CLEXM
CLEXM_X32Y53
SLICEM SLICE_X52Y53
SLICEX SLICE_X53Y53
INT
INT_X33Y53
TIEOFF TIEOFF_X58Y106
CLEXL
CLEXL_X33Y53
SLICEL SLICE_X54Y53
SLICEX SLICE_X55Y53
INT_BRAM
INT_BRAM_X34Y53
TIEOFF TIEOFF_X60Y106
INT_INTERFACE
INT_INTERFACE_X34Y53
NULL
NULL_X80Y58
INT
INT_X35Y53
TIEOFF TIEOFF_X61Y106
CLEXM
CLEXM_X35Y53
SLICEM SLICE_X56Y53
SLICEX SLICE_X57Y53
INT
INT_X36Y53
TIEOFF TIEOFF_X63Y106
CLEXL
CLEXL_X36Y53
SLICEL SLICE_X58Y53
SLICEX SLICE_X59Y53
INT
INT_X37Y53
TIEOFF TIEOFF_X65Y106
INT_INTERFACE
INT_INTERFACE_X37Y53
MCB_MUI1R
MCB_MUI1R_X37Y53
IOI_RTERM
IOI_RTERM_X88Y58
EMP_RIOB
EMP_RIOB_X37Y53
LIOB
LIOB_X0Y52
IOBM R3
IOBS R1
IOI_LTERM
IOI_LTERM_X1Y57
LIOI_INT
LIOI_INT_X0Y52
TIEOFF TIEOFF_X0Y104
LIOI
LIOI_X0Y52
OLOGIC2 OLOGIC_X0Y48
IODELAY2 IODELAY_X0Y48
ILOGIC2 ILOGIC_X0Y48
OLOGIC2 OLOGIC_X0Y49
IODELAY2 IODELAY_X0Y49
ILOGIC2 ILOGIC_X0Y49
TIEOFF TIEOFF_X1Y104
MCB_INT_BOT
MCB_INT_BOT_X0Y52
INT
INT_X1Y52
TIEOFF TIEOFF_X2Y104
CLEXL
CLEXL_X1Y52
SLICEL SLICE_X0Y52
SLICEX SLICE_X1Y52
INT
INT_X2Y52
TIEOFF TIEOFF_X4Y104
CLEXM
CLEXM_X2Y52
SLICEM SLICE_X2Y52
SLICEX SLICE_X3Y52
INT_BRAM
INT_BRAM_X3Y52
TIEOFF TIEOFF_X6Y104
INT_INTERFACE
INT_INTERFACE_X3Y52
BRAMSITE2
BRAMSITE2_X3Y52
RAMB16BWER RAMB16_X0Y26
RAMB8BWER RAMB8_X0Y26
RAMB8BWER RAMB8_X0Y27
INT
INT_X4Y52
TIEOFF TIEOFF_X7Y104
CLEXL
CLEXL_X4Y52
SLICEL SLICE_X4Y52
SLICEX SLICE_X5Y52
INT
INT_X5Y52
TIEOFF TIEOFF_X9Y104
CLEXM
CLEXM_X5Y52
SLICEM SLICE_X6Y52
SLICEX SLICE_X7Y52
INT
INT_X6Y52
TIEOFF TIEOFF_X11Y104
INT_INTERFACE
INT_INTERFACE_X6Y52
MACCSITE2
MACCSITE2_X6Y52
DSP48A1 DSP48_X0Y13
INT
INT_X7Y52
TIEOFF TIEOFF_X12Y104
CLEXL
CLEXL_X7Y52
SLICEL SLICE_X8Y52
SLICEX SLICE_X9Y52
INT
INT_X8Y52
TIEOFF TIEOFF_X14Y104
CLEXM
CLEXM_X8Y52
SLICEM SLICE_X10Y52
SLICEX SLICE_X11Y52
INT
INT_X9Y52
TIEOFF TIEOFF_X16Y104
CLEXL
CLEXL_X9Y52
SLICEL SLICE_X12Y52
SLICEX SLICE_X13Y52
INT
INT_X10Y52
TIEOFF TIEOFF_X17Y104
CLEXM
CLEXM_X10Y52
SLICEM SLICE_X14Y52
SLICEX SLICE_X15Y52
INT
INT_X11Y52
TIEOFF TIEOFF_X19Y104
CLEXL
CLEXL_X11Y52
SLICEL SLICE_X16Y52
SLICEX SLICE_X17Y52
INT_BRAM
INT_BRAM_X12Y52
TIEOFF TIEOFF_X21Y104
INT_INTERFACE
INT_INTERFACE_X12Y52
BRAMSITE2
BRAMSITE2_X12Y52
RAMB16BWER RAMB16_X1Y26
RAMB8BWER RAMB8_X1Y26
RAMB8BWER RAMB8_X1Y27
INT
INT_X13Y52
TIEOFF TIEOFF_X22Y104
CLEXM
CLEXM_X13Y52
SLICEM SLICE_X18Y52
SLICEX SLICE_X19Y52
INT
INT_X14Y52
TIEOFF TIEOFF_X24Y104
CLEXL
CLEXL_X14Y52
SLICEL SLICE_X20Y52
SLICEX SLICE_X21Y52
INT
INT_X15Y52
TIEOFF TIEOFF_X26Y104
CLEXM
CLEXM_X15Y52
SLICEM SLICE_X22Y52
SLICEX SLICE_X23Y52
INT
INT_X16Y52
TIEOFF TIEOFF_X28Y104
CLEXL
CLEXL_X16Y52
SLICEL SLICE_X24Y52
SLICEX SLICE_X25Y52
INT
INT_X17Y52
TIEOFF TIEOFF_X29Y104
CLEXM
CLEXM_X17Y52
SLICEM SLICE_X26Y52
SLICEX SLICE_X27Y52
INT
INT_X18Y52
TIEOFF TIEOFF_X31Y104
CLEXL
CLEXL_X18Y52
SLICEL SLICE_X28Y52
SLICEX SLICE_X29Y52
NULL
NULL_X44Y57
REG_V
REG_V_X18Y52
INT
INT_X19Y52
TIEOFF TIEOFF_X34Y104
CLEXM
CLEXM_X19Y52
SLICEM SLICE_X30Y52
SLICEX SLICE_X31Y52
INT
INT_X20Y52
TIEOFF TIEOFF_X36Y104
CLEXL
CLEXL_X20Y52
SLICEL SLICE_X32Y52
SLICEX SLICE_X33Y52
INT
INT_X21Y52
TIEOFF TIEOFF_X38Y104
CLEXM
CLEXM_X21Y52
SLICEM SLICE_X34Y52
SLICEX SLICE_X35Y52
INT
INT_X22Y52
TIEOFF TIEOFF_X39Y104
CLEXL
CLEXL_X22Y52
SLICEL SLICE_X36Y52
SLICEX SLICE_X37Y52
INT
INT_X23Y52
TIEOFF TIEOFF_X41Y104
CLEXM
CLEXM_X23Y52
SLICEM SLICE_X38Y52
SLICEX SLICE_X39Y52
INT
INT_X24Y52
TIEOFF TIEOFF_X43Y104
CLEXL
CLEXL_X24Y52
SLICEL SLICE_X40Y52
SLICEX SLICE_X41Y52
INT_BRAM
INT_BRAM_X25Y52
TIEOFF TIEOFF_X45Y104
INT_INTERFACE
INT_INTERFACE_X25Y52
BRAMSITE2
BRAMSITE2_X25Y52
RAMB16BWER RAMB16_X2Y26
RAMB8BWER RAMB8_X2Y26
RAMB8BWER RAMB8_X2Y27
INT
INT_X26Y52
TIEOFF TIEOFF_X46Y104
CLEXL
CLEXL_X26Y52
SLICEL SLICE_X42Y52
SLICEX SLICE_X43Y52
INT
INT_X27Y52
TIEOFF TIEOFF_X48Y104
CLEXM
CLEXM_X27Y52
SLICEM SLICE_X44Y52
SLICEX SLICE_X45Y52
INT
INT_X28Y52
TIEOFF TIEOFF_X50Y104
CLEXL
CLEXL_X28Y52
SLICEL SLICE_X46Y52
SLICEX SLICE_X47Y52
INT
INT_X29Y52
TIEOFF TIEOFF_X51Y104
CLEXM
CLEXM_X29Y52
SLICEM SLICE_X48Y52
SLICEX SLICE_X49Y52
INT
INT_X30Y52
TIEOFF TIEOFF_X53Y104
CLEXL
CLEXL_X30Y52
SLICEL SLICE_X50Y52
SLICEX SLICE_X51Y52
INT
INT_X31Y52
TIEOFF TIEOFF_X55Y104
INT_INTERFACE
INT_INTERFACE_X31Y52
MACCSITE2
MACCSITE2_X31Y52
DSP48A1 DSP48_X1Y13
INT
INT_X32Y52
TIEOFF TIEOFF_X56Y104
CLEXM
CLEXM_X32Y52
SLICEM SLICE_X52Y52
SLICEX SLICE_X53Y52
INT
INT_X33Y52
TIEOFF TIEOFF_X58Y104
CLEXL
CLEXL_X33Y52
SLICEL SLICE_X54Y52
SLICEX SLICE_X55Y52
INT_BRAM
INT_BRAM_X34Y52
TIEOFF TIEOFF_X60Y104
INT_INTERFACE
INT_INTERFACE_X34Y52
BRAMSITE2
BRAMSITE2_X34Y52
RAMB16BWER RAMB16_X3Y26
RAMB8BWER RAMB8_X3Y26
RAMB8BWER RAMB8_X3Y27
INT
INT_X35Y52
TIEOFF TIEOFF_X61Y104
CLEXM
CLEXM_X35Y52
SLICEM SLICE_X56Y52
SLICEX SLICE_X57Y52
INT
INT_X36Y52
TIEOFF TIEOFF_X63Y104
CLEXL
CLEXL_X36Y52
SLICEL SLICE_X58Y52
SLICEX SLICE_X59Y52
IOI_INT
IOI_INT_X37Y52
TIEOFF TIEOFF_X65Y104
RIOI
RIOI_X37Y52
OLOGIC2 OLOGIC_X27Y48
IODELAY2 IODELAY_X27Y48
ILOGIC2 ILOGIC_X27Y48
OLOGIC2 OLOGIC_X27Y49
IODELAY2 IODELAY_X27Y49
ILOGIC2 ILOGIC_X27Y49
TIEOFF TIEOFF_X66Y104
MCB_INT_BOT
MCB_INT_BOT_X37Y52
IOI_RTERM
IOI_RTERM_X88Y57
RIOB
RIOB_X37Y52
IOBS R22
IOBM R20
EMP_LIOB
EMP_LIOB_X0Y56
IOI_LTERM
IOI_LTERM_X1Y56
INT
INT_X0Y51
TIEOFF TIEOFF_X0Y102
INT_INTERFACE
INT_INTERFACE_X0Y51
NULL
NULL_X4Y56
INT
INT_X1Y51
TIEOFF TIEOFF_X2Y102
CLEXL
CLEXL_X1Y51
SLICEL SLICE_X0Y51
SLICEX SLICE_X1Y51
INT
INT_X2Y51
TIEOFF TIEOFF_X4Y102
CLEXM
CLEXM_X2Y51
SLICEM SLICE_X2Y51
SLICEX SLICE_X3Y51
INT_BRAM
INT_BRAM_X3Y51
TIEOFF TIEOFF_X6Y102
INT_INTERFACE
INT_INTERFACE_X3Y51
NULL
NULL_X11Y56
INT
INT_X4Y51
TIEOFF TIEOFF_X7Y102
CLEXL
CLEXL_X4Y51
SLICEL SLICE_X4Y51
SLICEX SLICE_X5Y51
INT
INT_X5Y51
TIEOFF TIEOFF_X9Y102
CLEXM
CLEXM_X5Y51
SLICEM SLICE_X6Y51
SLICEX SLICE_X7Y51
INT
INT_X6Y51
TIEOFF TIEOFF_X11Y102
INT_INTERFACE
INT_INTERFACE_X6Y51
NULL
NULL_X18Y56
INT
INT_X7Y51
TIEOFF TIEOFF_X12Y102
CLEXL
CLEXL_X7Y51
SLICEL SLICE_X8Y51
SLICEX SLICE_X9Y51
INT
INT_X8Y51
TIEOFF TIEOFF_X14Y102
CLEXM
CLEXM_X8Y51
SLICEM SLICE_X10Y51
SLICEX SLICE_X11Y51
INT
INT_X9Y51
TIEOFF TIEOFF_X16Y102
CLEXL
CLEXL_X9Y51
SLICEL SLICE_X12Y51
SLICEX SLICE_X13Y51
INT
INT_X10Y51
TIEOFF TIEOFF_X17Y102
CLEXM
CLEXM_X10Y51
SLICEM SLICE_X14Y51
SLICEX SLICE_X15Y51
INT
INT_X11Y51
TIEOFF TIEOFF_X19Y102
CLEXL
CLEXL_X11Y51
SLICEL SLICE_X16Y51
SLICEX SLICE_X17Y51
INT_BRAM
INT_BRAM_X12Y51
TIEOFF TIEOFF_X21Y102
INT_INTERFACE
INT_INTERFACE_X12Y51
NULL
NULL_X31Y56
INT
INT_X13Y51
TIEOFF TIEOFF_X22Y102
CLEXM
CLEXM_X13Y51
SLICEM SLICE_X18Y51
SLICEX SLICE_X19Y51
INT
INT_X14Y51
TIEOFF TIEOFF_X24Y102
CLEXL
CLEXL_X14Y51
SLICEL SLICE_X20Y51
SLICEX SLICE_X21Y51
INT
INT_X15Y51
TIEOFF TIEOFF_X26Y102
CLEXM
CLEXM_X15Y51
SLICEM SLICE_X22Y51
SLICEX SLICE_X23Y51
INT
INT_X16Y51
TIEOFF TIEOFF_X28Y102
CLEXL
CLEXL_X16Y51
SLICEL SLICE_X24Y51
SLICEX SLICE_X25Y51
INT
INT_X17Y51
TIEOFF TIEOFF_X29Y102
CLEXM
CLEXM_X17Y51
SLICEM SLICE_X26Y51
SLICEX SLICE_X27Y51
INT
INT_X18Y51
TIEOFF TIEOFF_X31Y102
CLEXL
CLEXL_X18Y51
SLICEL SLICE_X28Y51
SLICEX SLICE_X29Y51
NULL
NULL_X44Y56
REG_V
REG_V_X18Y51
INT
INT_X19Y51
TIEOFF TIEOFF_X34Y102
CLEXM
CLEXM_X19Y51
SLICEM SLICE_X30Y51
SLICEX SLICE_X31Y51
INT
INT_X20Y51
TIEOFF TIEOFF_X36Y102
CLEXL
CLEXL_X20Y51
SLICEL SLICE_X32Y51
SLICEX SLICE_X33Y51
INT
INT_X21Y51
TIEOFF TIEOFF_X38Y102
CLEXM
CLEXM_X21Y51
SLICEM SLICE_X34Y51
SLICEX SLICE_X35Y51
INT
INT_X22Y51
TIEOFF TIEOFF_X39Y102
CLEXL
CLEXL_X22Y51
SLICEL SLICE_X36Y51
SLICEX SLICE_X37Y51
INT
INT_X23Y51
TIEOFF TIEOFF_X41Y102
CLEXM
CLEXM_X23Y51
SLICEM SLICE_X38Y51
SLICEX SLICE_X39Y51
INT
INT_X24Y51
TIEOFF TIEOFF_X43Y102
CLEXL
CLEXL_X24Y51
SLICEL SLICE_X40Y51
SLICEX SLICE_X41Y51
INT_BRAM
INT_BRAM_X25Y51
TIEOFF TIEOFF_X45Y102
INT_INTERFACE
INT_INTERFACE_X25Y51
NULL
NULL_X60Y56
INT
INT_X26Y51
TIEOFF TIEOFF_X46Y102
CLEXL
CLEXL_X26Y51
SLICEL SLICE_X42Y51
SLICEX SLICE_X43Y51
INT
INT_X27Y51
TIEOFF TIEOFF_X48Y102
CLEXM
CLEXM_X27Y51
SLICEM SLICE_X44Y51
SLICEX SLICE_X45Y51
INT
INT_X28Y51
TIEOFF TIEOFF_X50Y102
CLEXL
CLEXL_X28Y51
SLICEL SLICE_X46Y51
SLICEX SLICE_X47Y51
INT
INT_X29Y51
TIEOFF TIEOFF_X51Y102
CLEXM
CLEXM_X29Y51
SLICEM SLICE_X48Y51
SLICEX SLICE_X49Y51
INT
INT_X30Y51
TIEOFF TIEOFF_X53Y102
CLEXL
CLEXL_X30Y51
SLICEL SLICE_X50Y51
SLICEX SLICE_X51Y51
INT
INT_X31Y51
TIEOFF TIEOFF_X55Y102
INT_INTERFACE
INT_INTERFACE_X31Y51
NULL
NULL_X73Y56
INT
INT_X32Y51
TIEOFF TIEOFF_X56Y102
CLEXM
CLEXM_X32Y51
SLICEM SLICE_X52Y51
SLICEX SLICE_X53Y51
INT
INT_X33Y51
TIEOFF TIEOFF_X58Y102
CLEXL
CLEXL_X33Y51
SLICEL SLICE_X54Y51
SLICEX SLICE_X55Y51
INT_BRAM
INT_BRAM_X34Y51
TIEOFF TIEOFF_X60Y102
INT_INTERFACE
INT_INTERFACE_X34Y51
NULL
NULL_X80Y56
INT
INT_X35Y51
TIEOFF TIEOFF_X61Y102
CLEXM
CLEXM_X35Y51
SLICEM SLICE_X56Y51
SLICEX SLICE_X57Y51
INT
INT_X36Y51
TIEOFF TIEOFF_X63Y102
CLEXL
CLEXL_X36Y51
SLICEL SLICE_X58Y51
SLICEX SLICE_X59Y51
INT
INT_X37Y51
TIEOFF TIEOFF_X65Y102
INT_INTERFACE
INT_INTERFACE_X37Y51
NULL
NULL_X87Y56
IOI_RTERM
IOI_RTERM_X88Y56
EMP_RIOB
EMP_RIOB_X37Y51
EMP_LIOB
EMP_LIOB_X0Y55
IOI_LTERM
IOI_LTERM_X1Y55
INT
INT_X0Y50
TIEOFF TIEOFF_X0Y100
INT_INTERFACE
INT_INTERFACE_X0Y50
MCB_MUI1W
MCB_MUI1W_X0Y50
INT
INT_X1Y50
TIEOFF TIEOFF_X2Y100
CLEXL
CLEXL_X1Y50
SLICEL SLICE_X0Y50
SLICEX SLICE_X1Y50
INT
INT_X2Y50
TIEOFF TIEOFF_X4Y100
CLEXM
CLEXM_X2Y50
SLICEM SLICE_X2Y50
SLICEX SLICE_X3Y50
INT_BRAM
INT_BRAM_X3Y50
TIEOFF TIEOFF_X6Y100
INT_INTERFACE
INT_INTERFACE_X3Y50
NULL
NULL_X11Y55
INT
INT_X4Y50
TIEOFF TIEOFF_X7Y100
CLEXL
CLEXL_X4Y50
SLICEL SLICE_X4Y50
SLICEX SLICE_X5Y50
INT
INT_X5Y50
TIEOFF TIEOFF_X9Y100
CLEXM
CLEXM_X5Y50
SLICEM SLICE_X6Y50
SLICEX SLICE_X7Y50
INT
INT_X6Y50
TIEOFF TIEOFF_X11Y100
INT_INTERFACE
INT_INTERFACE_X6Y50
NULL
NULL_X18Y55
INT
INT_X7Y50
TIEOFF TIEOFF_X12Y100
CLEXL
CLEXL_X7Y50
SLICEL SLICE_X8Y50
SLICEX SLICE_X9Y50
INT
INT_X8Y50
TIEOFF TIEOFF_X14Y100
CLEXM
CLEXM_X8Y50
SLICEM SLICE_X10Y50
SLICEX SLICE_X11Y50
INT
INT_X9Y50
TIEOFF TIEOFF_X16Y100
CLEXL
CLEXL_X9Y50
SLICEL SLICE_X12Y50
SLICEX SLICE_X13Y50
INT
INT_X10Y50
TIEOFF TIEOFF_X17Y100
CLEXM
CLEXM_X10Y50
SLICEM SLICE_X14Y50
SLICEX SLICE_X15Y50
INT
INT_X11Y50
TIEOFF TIEOFF_X19Y100
CLEXL
CLEXL_X11Y50
SLICEL SLICE_X16Y50
SLICEX SLICE_X17Y50
INT_BRAM
INT_BRAM_X12Y50
TIEOFF TIEOFF_X21Y100
INT_INTERFACE
INT_INTERFACE_X12Y50
NULL
NULL_X31Y55
INT
INT_X13Y50
TIEOFF TIEOFF_X22Y100
CLEXM
CLEXM_X13Y50
SLICEM SLICE_X18Y50
SLICEX SLICE_X19Y50
INT
INT_X14Y50
TIEOFF TIEOFF_X24Y100
CLEXL
CLEXL_X14Y50
SLICEL SLICE_X20Y50
SLICEX SLICE_X21Y50
INT
INT_X15Y50
TIEOFF TIEOFF_X26Y100
CLEXM
CLEXM_X15Y50
SLICEM SLICE_X22Y50
SLICEX SLICE_X23Y50
INT
INT_X16Y50
TIEOFF TIEOFF_X28Y100
CLEXL
CLEXL_X16Y50
SLICEL SLICE_X24Y50
SLICEX SLICE_X25Y50
INT
INT_X17Y50
TIEOFF TIEOFF_X29Y100
CLEXM
CLEXM_X17Y50
SLICEM SLICE_X26Y50
SLICEX SLICE_X27Y50
INT
INT_X18Y50
TIEOFF TIEOFF_X31Y100
CLEXL
CLEXL_X18Y50
SLICEL SLICE_X28Y50
SLICEX SLICE_X29Y50
NULL
NULL_X44Y55
REG_V
REG_V_X18Y50
INT
INT_X19Y50
TIEOFF TIEOFF_X34Y100
CLEXM
CLEXM_X19Y50
SLICEM SLICE_X30Y50
SLICEX SLICE_X31Y50
INT
INT_X20Y50
TIEOFF TIEOFF_X36Y100
CLEXL
CLEXL_X20Y50
SLICEL SLICE_X32Y50
SLICEX SLICE_X33Y50
INT
INT_X21Y50
TIEOFF TIEOFF_X38Y100
CLEXM
CLEXM_X21Y50
SLICEM SLICE_X34Y50
SLICEX SLICE_X35Y50
INT
INT_X22Y50
TIEOFF TIEOFF_X39Y100
CLEXL
CLEXL_X22Y50
SLICEL SLICE_X36Y50
SLICEX SLICE_X37Y50
INT
INT_X23Y50
TIEOFF TIEOFF_X41Y100
CLEXM
CLEXM_X23Y50
SLICEM SLICE_X38Y50
SLICEX SLICE_X39Y50
INT
INT_X24Y50
TIEOFF TIEOFF_X43Y100
CLEXL
CLEXL_X24Y50
SLICEL SLICE_X40Y50
SLICEX SLICE_X41Y50
INT_BRAM
INT_BRAM_X25Y50
TIEOFF TIEOFF_X45Y100
INT_INTERFACE
INT_INTERFACE_X25Y50
NULL
NULL_X60Y55
INT
INT_X26Y50
TIEOFF TIEOFF_X46Y100
CLEXL
CLEXL_X26Y50
SLICEL SLICE_X42Y50
SLICEX SLICE_X43Y50
INT
INT_X27Y50
TIEOFF TIEOFF_X48Y100
CLEXM
CLEXM_X27Y50
SLICEM SLICE_X44Y50
SLICEX SLICE_X45Y50
INT
INT_X28Y50
TIEOFF TIEOFF_X50Y100
CLEXL
CLEXL_X28Y50
SLICEL SLICE_X46Y50
SLICEX SLICE_X47Y50
INT
INT_X29Y50
TIEOFF TIEOFF_X51Y100
CLEXM
CLEXM_X29Y50
SLICEM SLICE_X48Y50
SLICEX SLICE_X49Y50
INT
INT_X30Y50
TIEOFF TIEOFF_X53Y100
CLEXL
CLEXL_X30Y50
SLICEL SLICE_X50Y50
SLICEX SLICE_X51Y50
INT
INT_X31Y50
TIEOFF TIEOFF_X55Y100
INT_INTERFACE
INT_INTERFACE_X31Y50
NULL
NULL_X73Y55
INT
INT_X32Y50
TIEOFF TIEOFF_X56Y100
CLEXM
CLEXM_X32Y50
SLICEM SLICE_X52Y50
SLICEX SLICE_X53Y50
INT
INT_X33Y50
TIEOFF TIEOFF_X58Y100
CLEXL
CLEXL_X33Y50
SLICEL SLICE_X54Y50
SLICEX SLICE_X55Y50
INT_BRAM
INT_BRAM_X34Y50
TIEOFF TIEOFF_X60Y100
INT_INTERFACE
INT_INTERFACE_X34Y50
NULL
NULL_X80Y55
INT
INT_X35Y50
TIEOFF TIEOFF_X61Y100
CLEXM
CLEXM_X35Y50
SLICEM SLICE_X56Y50
SLICEX SLICE_X57Y50
INT
INT_X36Y50
TIEOFF TIEOFF_X63Y100
CLEXL
CLEXL_X36Y50
SLICEL SLICE_X58Y50
SLICEX SLICE_X59Y50
INT
INT_X37Y50
TIEOFF TIEOFF_X65Y100
INT_INTERFACE
INT_INTERFACE_X37Y50
MCB_MUI1W
MCB_MUI1W_X37Y50
IOI_RTERM
IOI_RTERM_X88Y55
EMP_RIOB
EMP_RIOB_X37Y50
LIOB
LIOB_X0Y49
IOBM T2
IOBS T1
IOI_LTERM
IOI_LTERM_X1Y54
LIOI_INT
LIOI_INT_X0Y49
TIEOFF TIEOFF_X0Y98
LIOI
LIOI_X0Y49
OLOGIC2 OLOGIC_X0Y46
IODELAY2 IODELAY_X0Y46
ILOGIC2 ILOGIC_X0Y46
OLOGIC2 OLOGIC_X0Y47
IODELAY2 IODELAY_X0Y47
ILOGIC2 ILOGIC_X0Y47
TIEOFF TIEOFF_X1Y98
MCB_INT_BOT
MCB_INT_BOT_X0Y49
INT
INT_X1Y49
TIEOFF TIEOFF_X2Y98
CLEXL
CLEXL_X1Y49
SLICEL SLICE_X0Y49
SLICEX SLICE_X1Y49
INT
INT_X2Y49
TIEOFF TIEOFF_X4Y98
CLEXM
CLEXM_X2Y49
SLICEM SLICE_X2Y49
SLICEX SLICE_X3Y49
INT_BRAM
INT_BRAM_X3Y49
TIEOFF TIEOFF_X6Y98
INT_INTERFACE
INT_INTERFACE_X3Y49
NULL
NULL_X11Y54
INT
INT_X4Y49
TIEOFF TIEOFF_X7Y98
CLEXL
CLEXL_X4Y49
SLICEL SLICE_X4Y49
SLICEX SLICE_X5Y49
INT
INT_X5Y49
TIEOFF TIEOFF_X9Y98
CLEXM
CLEXM_X5Y49
SLICEM SLICE_X6Y49
SLICEX SLICE_X7Y49
INT
INT_X6Y49
TIEOFF TIEOFF_X11Y98
INT_INTERFACE
INT_INTERFACE_X6Y49
NULL
NULL_X18Y54
INT
INT_X7Y49
TIEOFF TIEOFF_X12Y98
CLEXL
CLEXL_X7Y49
SLICEL SLICE_X8Y49
SLICEX SLICE_X9Y49
INT
INT_X8Y49
TIEOFF TIEOFF_X14Y98
CLEXM
CLEXM_X8Y49
SLICEM SLICE_X10Y49
SLICEX SLICE_X11Y49
INT
INT_X9Y49
TIEOFF TIEOFF_X16Y98
CLEXL
CLEXL_X9Y49
SLICEL SLICE_X12Y49
SLICEX SLICE_X13Y49
INT
INT_X10Y49
TIEOFF TIEOFF_X17Y98
CLEXM
CLEXM_X10Y49
SLICEM SLICE_X14Y49
SLICEX SLICE_X15Y49
INT
INT_X11Y49
TIEOFF TIEOFF_X19Y98
CLEXL
CLEXL_X11Y49
SLICEL SLICE_X16Y49
SLICEX SLICE_X17Y49
INT_BRAM
INT_BRAM_X12Y49
TIEOFF TIEOFF_X21Y98
INT_INTERFACE
INT_INTERFACE_X12Y49
NULL
NULL_X31Y54
INT
INT_X13Y49
TIEOFF TIEOFF_X22Y98
CLEXM
CLEXM_X13Y49
SLICEM SLICE_X18Y49
SLICEX SLICE_X19Y49
INT
INT_X14Y49
TIEOFF TIEOFF_X24Y98
CLEXL
CLEXL_X14Y49
SLICEL SLICE_X20Y49
SLICEX SLICE_X21Y49
INT
INT_X15Y49
TIEOFF TIEOFF_X26Y98
CLEXM
CLEXM_X15Y49
SLICEM SLICE_X22Y49
SLICEX SLICE_X23Y49
INT
INT_X16Y49
TIEOFF TIEOFF_X28Y98
CLEXL
CLEXL_X16Y49
SLICEL SLICE_X24Y49
SLICEX SLICE_X25Y49
INT
INT_X17Y49
TIEOFF TIEOFF_X29Y98
CLEXM
CLEXM_X17Y49
SLICEM SLICE_X26Y49
SLICEX SLICE_X27Y49
INT
INT_X18Y49
TIEOFF TIEOFF_X31Y98
CLEXL
CLEXL_X18Y49
SLICEL SLICE_X28Y49
SLICEX SLICE_X29Y49
NULL
NULL_X44Y54
REG_V
REG_V_X18Y49
INT
INT_X19Y49
TIEOFF TIEOFF_X34Y98
CLEXM
CLEXM_X19Y49
SLICEM SLICE_X30Y49
SLICEX SLICE_X31Y49
INT
INT_X20Y49
TIEOFF TIEOFF_X36Y98
CLEXL
CLEXL_X20Y49
SLICEL SLICE_X32Y49
SLICEX SLICE_X33Y49
INT
INT_X21Y49
TIEOFF TIEOFF_X38Y98
CLEXM
CLEXM_X21Y49
SLICEM SLICE_X34Y49
SLICEX SLICE_X35Y49
INT
INT_X22Y49
TIEOFF TIEOFF_X39Y98
CLEXL
CLEXL_X22Y49
SLICEL SLICE_X36Y49
SLICEX SLICE_X37Y49
INT
INT_X23Y49
TIEOFF TIEOFF_X41Y98
CLEXM
CLEXM_X23Y49
SLICEM SLICE_X38Y49
SLICEX SLICE_X39Y49
INT
INT_X24Y49
TIEOFF TIEOFF_X43Y98
CLEXL
CLEXL_X24Y49
SLICEL SLICE_X40Y49
SLICEX SLICE_X41Y49
INT_BRAM
INT_BRAM_X25Y49
TIEOFF TIEOFF_X45Y98
INT_INTERFACE
INT_INTERFACE_X25Y49
NULL
NULL_X60Y54
INT
INT_X26Y49
TIEOFF TIEOFF_X46Y98
CLEXL
CLEXL_X26Y49
SLICEL SLICE_X42Y49
SLICEX SLICE_X43Y49
INT
INT_X27Y49
TIEOFF TIEOFF_X48Y98
CLEXM
CLEXM_X27Y49
SLICEM SLICE_X44Y49
SLICEX SLICE_X45Y49
INT
INT_X28Y49
TIEOFF TIEOFF_X50Y98
CLEXL
CLEXL_X28Y49
SLICEL SLICE_X46Y49
SLICEX SLICE_X47Y49
INT
INT_X29Y49
TIEOFF TIEOFF_X51Y98
CLEXM
CLEXM_X29Y49
SLICEM SLICE_X48Y49
SLICEX SLICE_X49Y49
INT
INT_X30Y49
TIEOFF TIEOFF_X53Y98
CLEXL
CLEXL_X30Y49
SLICEL SLICE_X50Y49
SLICEX SLICE_X51Y49
INT
INT_X31Y49
TIEOFF TIEOFF_X55Y98
INT_INTERFACE
INT_INTERFACE_X31Y49
NULL
NULL_X73Y54
INT
INT_X32Y49
TIEOFF TIEOFF_X56Y98
CLEXM
CLEXM_X32Y49
SLICEM SLICE_X52Y49
SLICEX SLICE_X53Y49
INT
INT_X33Y49
TIEOFF TIEOFF_X58Y98
CLEXL
CLEXL_X33Y49
SLICEL SLICE_X54Y49
SLICEX SLICE_X55Y49
INT_BRAM
INT_BRAM_X34Y49
TIEOFF TIEOFF_X60Y98
INT_INTERFACE
INT_INTERFACE_X34Y49
NULL
NULL_X80Y54
INT
INT_X35Y49
TIEOFF TIEOFF_X61Y98
CLEXM
CLEXM_X35Y49
SLICEM SLICE_X56Y49
SLICEX SLICE_X57Y49
INT
INT_X36Y49
TIEOFF TIEOFF_X63Y98
CLEXL
CLEXL_X36Y49
SLICEL SLICE_X58Y49
SLICEX SLICE_X59Y49
IOI_INT
IOI_INT_X37Y49
TIEOFF TIEOFF_X65Y98
RIOI
RIOI_X37Y49
OLOGIC2 OLOGIC_X27Y46
IODELAY2 IODELAY_X27Y46
ILOGIC2 ILOGIC_X27Y46
OLOGIC2 OLOGIC_X27Y47
IODELAY2 IODELAY_X27Y47
ILOGIC2 ILOGIC_X27Y47
TIEOFF TIEOFF_X66Y98
MCB_INT_BOT
MCB_INT_BOT_X37Y49
IOI_RTERM
IOI_RTERM_X88Y54
RIOB
RIOB_X37Y49
IOBS T22
IOBM T21
EMP_LIOB
EMP_LIOB_X0Y53
IOI_LTERM
IOI_LTERM_X1Y53
INT_BRK
INT_X0Y48
TIEOFF TIEOFF_X0Y96
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X0Y48
NULL
NULL_X4Y53
INT_BRK
INT_X1Y48
TIEOFF TIEOFF_X2Y96
CLEXL
CLEXL_X1Y48
SLICEL SLICE_X0Y48
SLICEX SLICE_X1Y48
INT_BRK
INT_X2Y48
TIEOFF TIEOFF_X4Y96
CLEXM
CLEXM_X2Y48
SLICEM SLICE_X2Y48
SLICEX SLICE_X3Y48
INT_BRAM_BRK
INT_BRAM_BRK_X3Y48
TIEOFF TIEOFF_X6Y96
INT_INTERFACE
INT_INTERFACE_X3Y48
BRAMSITE2
BRAMSITE2_X3Y48
RAMB16BWER RAMB16_X0Y24
RAMB8BWER RAMB8_X0Y24
RAMB8BWER RAMB8_X0Y25
INT_BRK
INT_X4Y48
TIEOFF TIEOFF_X7Y96
CLEXL
CLEXL_X4Y48
SLICEL SLICE_X4Y48
SLICEX SLICE_X5Y48
INT_BRK
INT_X5Y48
TIEOFF TIEOFF_X9Y96
CLEXM
CLEXM_X5Y48
SLICEM SLICE_X6Y48
SLICEX SLICE_X7Y48
INT_BRK
INT_X6Y48
TIEOFF TIEOFF_X11Y96
INT_INTERFACE
INT_INTERFACE_X6Y48
MACCSITE2
MACCSITE2_X6Y48
DSP48A1 DSP48_X0Y12
INT_BRK
INT_X7Y48
TIEOFF TIEOFF_X12Y96
CLEXL
CLEXL_X7Y48
SLICEL SLICE_X8Y48
SLICEX SLICE_X9Y48
INT_BRK
INT_X8Y48
TIEOFF TIEOFF_X14Y96
CLEXM
CLEXM_X8Y48
SLICEM SLICE_X10Y48
SLICEX SLICE_X11Y48
INT_BRK
INT_X9Y48
TIEOFF TIEOFF_X16Y96
CLEXL
CLEXL_X9Y48
SLICEL SLICE_X12Y48
SLICEX SLICE_X13Y48
INT_BRK
INT_X10Y48
TIEOFF TIEOFF_X17Y96
CLEXM
CLEXM_X10Y48
SLICEM SLICE_X14Y48
SLICEX SLICE_X15Y48
INT_BRK
INT_X11Y48
TIEOFF TIEOFF_X19Y96
CLEXL
CLEXL_X11Y48
SLICEL SLICE_X16Y48
SLICEX SLICE_X17Y48
INT_BRAM_BRK
INT_BRAM_BRK_X12Y48
TIEOFF TIEOFF_X21Y96
INT_INTERFACE
INT_INTERFACE_X12Y48
BRAMSITE2
BRAMSITE2_X12Y48
RAMB16BWER RAMB16_X1Y24
RAMB8BWER RAMB8_X1Y24
RAMB8BWER RAMB8_X1Y25
INT_BRK
INT_X13Y48
TIEOFF TIEOFF_X22Y96
CLEXM
CLEXM_X13Y48
SLICEM SLICE_X18Y48
SLICEX SLICE_X19Y48
INT_BRK
INT_X14Y48
TIEOFF TIEOFF_X24Y96
CLEXL
CLEXL_X14Y48
SLICEL SLICE_X20Y48
SLICEX SLICE_X21Y48
INT_BRK
INT_X15Y48
TIEOFF TIEOFF_X26Y96
CLEXM
CLEXM_X15Y48
SLICEM SLICE_X22Y48
SLICEX SLICE_X23Y48
INT_BRK
INT_X16Y48
TIEOFF TIEOFF_X28Y96
CLEXL
CLEXL_X16Y48
SLICEL SLICE_X24Y48
SLICEX SLICE_X25Y48
INT_BRK
INT_X17Y48
TIEOFF TIEOFF_X29Y96
CLEXM
CLEXM_X17Y48
SLICEM SLICE_X26Y48
SLICEX SLICE_X27Y48
INT_BRK
INT_X18Y48
TIEOFF TIEOFF_X31Y96
CLEXL
CLEXL_X18Y48
SLICEL SLICE_X28Y48
SLICEX SLICE_X29Y48
NULL
NULL_X44Y53
REG_V_BRK
REG_V_BRK_X18Y48
INT_BRK
INT_X19Y48
TIEOFF TIEOFF_X34Y96
CLEXM
CLEXM_X19Y48
SLICEM SLICE_X30Y48
SLICEX SLICE_X31Y48
INT_BRK
INT_X20Y48
TIEOFF TIEOFF_X36Y96
CLEXL
CLEXL_X20Y48
SLICEL SLICE_X32Y48
SLICEX SLICE_X33Y48
INT_BRK
INT_X21Y48
TIEOFF TIEOFF_X38Y96
CLEXM
CLEXM_X21Y48
SLICEM SLICE_X34Y48
SLICEX SLICE_X35Y48
INT_BRK
INT_X22Y48
TIEOFF TIEOFF_X39Y96
CLEXL
CLEXL_X22Y48
SLICEL SLICE_X36Y48
SLICEX SLICE_X37Y48
INT_BRK
INT_X23Y48
TIEOFF TIEOFF_X41Y96
CLEXM
CLEXM_X23Y48
SLICEM SLICE_X38Y48
SLICEX SLICE_X39Y48
INT_BRK
INT_X24Y48
TIEOFF TIEOFF_X43Y96
CLEXL
CLEXL_X24Y48
SLICEL SLICE_X40Y48
SLICEX SLICE_X41Y48
INT_BRAM_BRK
INT_BRAM_BRK_X25Y48
TIEOFF TIEOFF_X45Y96
INT_INTERFACE
INT_INTERFACE_X25Y48
BRAMSITE2
BRAMSITE2_X25Y48
RAMB16BWER RAMB16_X2Y24
RAMB8BWER RAMB8_X2Y24
RAMB8BWER RAMB8_X2Y25
INT_BRK
INT_X26Y48
TIEOFF TIEOFF_X46Y96
CLEXL
CLEXL_X26Y48
SLICEL SLICE_X42Y48
SLICEX SLICE_X43Y48
INT_BRK
INT_X27Y48
TIEOFF TIEOFF_X48Y96
CLEXM
CLEXM_X27Y48
SLICEM SLICE_X44Y48
SLICEX SLICE_X45Y48
INT_BRK
INT_X28Y48
TIEOFF TIEOFF_X50Y96
CLEXL
CLEXL_X28Y48
SLICEL SLICE_X46Y48
SLICEX SLICE_X47Y48
INT_BRK
INT_X29Y48
TIEOFF TIEOFF_X51Y96
CLEXM
CLEXM_X29Y48
SLICEM SLICE_X48Y48
SLICEX SLICE_X49Y48
INT_BRK
INT_X30Y48
TIEOFF TIEOFF_X53Y96
CLEXL
CLEXL_X30Y48
SLICEL SLICE_X50Y48
SLICEX SLICE_X51Y48
INT_BRK
INT_X31Y48
TIEOFF TIEOFF_X55Y96
INT_INTERFACE
INT_INTERFACE_X31Y48
MACCSITE2
MACCSITE2_X31Y48
DSP48A1 DSP48_X1Y12
INT_BRK
INT_X32Y48
TIEOFF TIEOFF_X56Y96
CLEXM
CLEXM_X32Y48
SLICEM SLICE_X52Y48
SLICEX SLICE_X53Y48
INT_BRK
INT_X33Y48
TIEOFF TIEOFF_X58Y96
CLEXL
CLEXL_X33Y48
SLICEL SLICE_X54Y48
SLICEX SLICE_X55Y48
INT_BRAM_BRK
INT_BRAM_BRK_X34Y48
TIEOFF TIEOFF_X60Y96
INT_INTERFACE
INT_INTERFACE_X34Y48
BRAMSITE2
BRAMSITE2_X34Y48
RAMB16BWER RAMB16_X3Y24
RAMB8BWER RAMB8_X3Y24
RAMB8BWER RAMB8_X3Y25
INT_BRK
INT_X35Y48
TIEOFF TIEOFF_X61Y96
CLEXM
CLEXM_X35Y48
SLICEM SLICE_X56Y48
SLICEX SLICE_X57Y48
INT_BRK
INT_X36Y48
TIEOFF TIEOFF_X63Y96
CLEXL
CLEXL_X36Y48
SLICEL SLICE_X58Y48
SLICEX SLICE_X59Y48
INT_BRK
INT_X37Y48
TIEOFF TIEOFF_X65Y96
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X37Y48
NULL
NULL_X87Y53
IOI_RTERM
IOI_RTERM_X88Y53
EMP_RIOB
EMP_RIOB_X37Y48
EMP_LIOB
EMP_LIOB_X0Y52
IOI_LTERM
IOI_LTERM_X1Y52
INT
INT_X0Y47
TIEOFF TIEOFF_X0Y94
INT_INTERFACE
INT_INTERFACE_X0Y47
MCB_MUI2
MCB_MUI2_X0Y47
INT
INT_X1Y47
TIEOFF TIEOFF_X2Y94
CLEXL
CLEXL_X1Y47
SLICEL SLICE_X0Y47
SLICEX SLICE_X1Y47
INT
INT_X2Y47
TIEOFF TIEOFF_X4Y94
CLEXM
CLEXM_X2Y47
SLICEM SLICE_X2Y47
SLICEX SLICE_X3Y47
INT_BRAM
INT_BRAM_X3Y47
TIEOFF TIEOFF_X6Y94
INT_INTERFACE
INT_INTERFACE_X3Y47
NULL
NULL_X11Y52
INT
INT_X4Y47
TIEOFF TIEOFF_X7Y94
CLEXL
CLEXL_X4Y47
SLICEL SLICE_X4Y47
SLICEX SLICE_X5Y47
INT
INT_X5Y47
TIEOFF TIEOFF_X9Y94
CLEXM
CLEXM_X5Y47
SLICEM SLICE_X6Y47
SLICEX SLICE_X7Y47
INT
INT_X6Y47
TIEOFF TIEOFF_X11Y94
INT_INTERFACE
INT_INTERFACE_X6Y47
NULL
NULL_X18Y52
INT
INT_X7Y47
TIEOFF TIEOFF_X12Y94
CLEXL
CLEXL_X7Y47
SLICEL SLICE_X8Y47
SLICEX SLICE_X9Y47
INT
INT_X8Y47
TIEOFF TIEOFF_X14Y94
CLEXM
CLEXM_X8Y47
SLICEM SLICE_X10Y47
SLICEX SLICE_X11Y47
INT
INT_X9Y47
TIEOFF TIEOFF_X16Y94
CLEXL
CLEXL_X9Y47
SLICEL SLICE_X12Y47
SLICEX SLICE_X13Y47
INT
INT_X10Y47
TIEOFF TIEOFF_X17Y94
CLEXM
CLEXM_X10Y47
SLICEM SLICE_X14Y47
SLICEX SLICE_X15Y47
INT
INT_X11Y47
TIEOFF TIEOFF_X19Y94
CLEXL
CLEXL_X11Y47
SLICEL SLICE_X16Y47
SLICEX SLICE_X17Y47
INT_BRAM
INT_BRAM_X12Y47
TIEOFF TIEOFF_X21Y94
INT_INTERFACE
INT_INTERFACE_X12Y47
NULL
NULL_X31Y52
INT
INT_X13Y47
TIEOFF TIEOFF_X22Y94
CLEXM
CLEXM_X13Y47
SLICEM SLICE_X18Y47
SLICEX SLICE_X19Y47
INT
INT_X14Y47
TIEOFF TIEOFF_X24Y94
CLEXL
CLEXL_X14Y47
SLICEL SLICE_X20Y47
SLICEX SLICE_X21Y47
INT
INT_X15Y47
TIEOFF TIEOFF_X26Y94
CLEXM
CLEXM_X15Y47
SLICEM SLICE_X22Y47
SLICEX SLICE_X23Y47
INT
INT_X16Y47
TIEOFF TIEOFF_X28Y94
CLEXL
CLEXL_X16Y47
SLICEL SLICE_X24Y47
SLICEX SLICE_X25Y47
INT
INT_X17Y47
TIEOFF TIEOFF_X29Y94
CLEXM
CLEXM_X17Y47
SLICEM SLICE_X26Y47
SLICEX SLICE_X27Y47
INT
INT_X18Y47
TIEOFF TIEOFF_X31Y94
CLEXL
CLEXL_X18Y47
SLICEL SLICE_X28Y47
SLICEX SLICE_X29Y47
NULL
NULL_X44Y52
REG_V
REG_V_X18Y47
INT
INT_X19Y47
TIEOFF TIEOFF_X34Y94
CLEXM
CLEXM_X19Y47
SLICEM SLICE_X30Y47
SLICEX SLICE_X31Y47
INT
INT_X20Y47
TIEOFF TIEOFF_X36Y94
CLEXL
CLEXL_X20Y47
SLICEL SLICE_X32Y47
SLICEX SLICE_X33Y47
INT
INT_X21Y47
TIEOFF TIEOFF_X38Y94
CLEXM
CLEXM_X21Y47
SLICEM SLICE_X34Y47
SLICEX SLICE_X35Y47
INT
INT_X22Y47
TIEOFF TIEOFF_X39Y94
CLEXL
CLEXL_X22Y47
SLICEL SLICE_X36Y47
SLICEX SLICE_X37Y47
INT
INT_X23Y47
TIEOFF TIEOFF_X41Y94
CLEXM
CLEXM_X23Y47
SLICEM SLICE_X38Y47
SLICEX SLICE_X39Y47
INT
INT_X24Y47
TIEOFF TIEOFF_X43Y94
CLEXL
CLEXL_X24Y47
SLICEL SLICE_X40Y47
SLICEX SLICE_X41Y47
INT_BRAM
INT_BRAM_X25Y47
TIEOFF TIEOFF_X45Y94
INT_INTERFACE
INT_INTERFACE_X25Y47
NULL
NULL_X60Y52
INT
INT_X26Y47
TIEOFF TIEOFF_X46Y94
CLEXL
CLEXL_X26Y47
SLICEL SLICE_X42Y47
SLICEX SLICE_X43Y47
INT
INT_X27Y47
TIEOFF TIEOFF_X48Y94
CLEXM
CLEXM_X27Y47
SLICEM SLICE_X44Y47
SLICEX SLICE_X45Y47
INT
INT_X28Y47
TIEOFF TIEOFF_X50Y94
CLEXL
CLEXL_X28Y47
SLICEL SLICE_X46Y47
SLICEX SLICE_X47Y47
INT
INT_X29Y47
TIEOFF TIEOFF_X51Y94
CLEXM
CLEXM_X29Y47
SLICEM SLICE_X48Y47
SLICEX SLICE_X49Y47
INT
INT_X30Y47
TIEOFF TIEOFF_X53Y94
CLEXL
CLEXL_X30Y47
SLICEL SLICE_X50Y47
SLICEX SLICE_X51Y47
INT
INT_X31Y47
TIEOFF TIEOFF_X55Y94
INT_INTERFACE
INT_INTERFACE_X31Y47
NULL
NULL_X73Y52
INT
INT_X32Y47
TIEOFF TIEOFF_X56Y94
CLEXM
CLEXM_X32Y47
SLICEM SLICE_X52Y47
SLICEX SLICE_X53Y47
INT
INT_X33Y47
TIEOFF TIEOFF_X58Y94
CLEXL
CLEXL_X33Y47
SLICEL SLICE_X54Y47
SLICEX SLICE_X55Y47
INT_BRAM
INT_BRAM_X34Y47
TIEOFF TIEOFF_X60Y94
INT_INTERFACE
INT_INTERFACE_X34Y47
NULL
NULL_X80Y52
INT
INT_X35Y47
TIEOFF TIEOFF_X61Y94
CLEXM
CLEXM_X35Y47
SLICEM SLICE_X56Y47
SLICEX SLICE_X57Y47
INT
INT_X36Y47
TIEOFF TIEOFF_X63Y94
CLEXL
CLEXL_X36Y47
SLICEL SLICE_X58Y47
SLICEX SLICE_X59Y47
INT
INT_X37Y47
TIEOFF TIEOFF_X65Y94
INT_INTERFACE
INT_INTERFACE_X37Y47
MCB_MUI2
MCB_MUI2_X37Y47
IOI_RTERM
IOI_RTERM_X88Y52
EMP_RIOB
EMP_RIOB_X37Y47
LIOB
LIOB_X0Y46
IOBM U3
IOBS U1
IOI_LTERM
IOI_LTERM_X1Y51
LIOI_INT
LIOI_INT_X0Y46
TIEOFF TIEOFF_X0Y92
LIOI
LIOI_X0Y46
OLOGIC2 OLOGIC_X0Y44
IODELAY2 IODELAY_X0Y44
ILOGIC2 ILOGIC_X0Y44
OLOGIC2 OLOGIC_X0Y45
IODELAY2 IODELAY_X0Y45
ILOGIC2 ILOGIC_X0Y45
TIEOFF TIEOFF_X1Y92
MCB_INT_BOT
MCB_INT_BOT_X0Y46
INT
INT_X1Y46
TIEOFF TIEOFF_X2Y92
CLEXL
CLEXL_X1Y46
SLICEL SLICE_X0Y46
SLICEX SLICE_X1Y46
INT
INT_X2Y46
TIEOFF TIEOFF_X4Y92
CLEXM
CLEXM_X2Y46
SLICEM SLICE_X2Y46
SLICEX SLICE_X3Y46
INT_BRAM
INT_BRAM_X3Y46
TIEOFF TIEOFF_X6Y92
INT_INTERFACE
INT_INTERFACE_X3Y46
NULL
NULL_X11Y51
INT
INT_X4Y46
TIEOFF TIEOFF_X7Y92
CLEXL
CLEXL_X4Y46
SLICEL SLICE_X4Y46
SLICEX SLICE_X5Y46
INT
INT_X5Y46
TIEOFF TIEOFF_X9Y92
CLEXM
CLEXM_X5Y46
SLICEM SLICE_X6Y46
SLICEX SLICE_X7Y46
INT
INT_X6Y46
TIEOFF TIEOFF_X11Y92
INT_INTERFACE
INT_INTERFACE_X6Y46
NULL
NULL_X18Y51
INT
INT_X7Y46
TIEOFF TIEOFF_X12Y92
CLEXL
CLEXL_X7Y46
SLICEL SLICE_X8Y46
SLICEX SLICE_X9Y46
INT
INT_X8Y46
TIEOFF TIEOFF_X14Y92
CLEXM
CLEXM_X8Y46
SLICEM SLICE_X10Y46
SLICEX SLICE_X11Y46
INT
INT_X9Y46
TIEOFF TIEOFF_X16Y92
CLEXL
CLEXL_X9Y46
SLICEL SLICE_X12Y46
SLICEX SLICE_X13Y46
INT
INT_X10Y46
TIEOFF TIEOFF_X17Y92
CLEXM
CLEXM_X10Y46
SLICEM SLICE_X14Y46
SLICEX SLICE_X15Y46
INT
INT_X11Y46
TIEOFF TIEOFF_X19Y92
CLEXL
CLEXL_X11Y46
SLICEL SLICE_X16Y46
SLICEX SLICE_X17Y46
INT_BRAM
INT_BRAM_X12Y46
TIEOFF TIEOFF_X21Y92
INT_INTERFACE
INT_INTERFACE_X12Y46
NULL
NULL_X31Y51
INT
INT_X13Y46
TIEOFF TIEOFF_X22Y92
CLEXM
CLEXM_X13Y46
SLICEM SLICE_X18Y46
SLICEX SLICE_X19Y46
INT
INT_X14Y46
TIEOFF TIEOFF_X24Y92
CLEXL
CLEXL_X14Y46
SLICEL SLICE_X20Y46
SLICEX SLICE_X21Y46
INT
INT_X15Y46
TIEOFF TIEOFF_X26Y92
CLEXM
CLEXM_X15Y46
SLICEM SLICE_X22Y46
SLICEX SLICE_X23Y46
INT
INT_X16Y46
TIEOFF TIEOFF_X28Y92
CLEXL
CLEXL_X16Y46
SLICEL SLICE_X24Y46
SLICEX SLICE_X25Y46
INT
INT_X17Y46
TIEOFF TIEOFF_X29Y92
CLEXM
CLEXM_X17Y46
SLICEM SLICE_X26Y46
SLICEX SLICE_X27Y46
INT
INT_X18Y46
TIEOFF TIEOFF_X31Y92
CLEXL
CLEXL_X18Y46
SLICEL SLICE_X28Y46
SLICEX SLICE_X29Y46
NULL
NULL_X44Y51
REG_V
REG_V_X18Y46
INT
INT_X19Y46
TIEOFF TIEOFF_X34Y92
CLEXM
CLEXM_X19Y46
SLICEM SLICE_X30Y46
SLICEX SLICE_X31Y46
INT
INT_X20Y46
TIEOFF TIEOFF_X36Y92
CLEXL
CLEXL_X20Y46
SLICEL SLICE_X32Y46
SLICEX SLICE_X33Y46
INT
INT_X21Y46
TIEOFF TIEOFF_X38Y92
CLEXM
CLEXM_X21Y46
SLICEM SLICE_X34Y46
SLICEX SLICE_X35Y46
INT
INT_X22Y46
TIEOFF TIEOFF_X39Y92
CLEXL
CLEXL_X22Y46
SLICEL SLICE_X36Y46
SLICEX SLICE_X37Y46
INT
INT_X23Y46
TIEOFF TIEOFF_X41Y92
CLEXM
CLEXM_X23Y46
SLICEM SLICE_X38Y46
SLICEX SLICE_X39Y46
INT
INT_X24Y46
TIEOFF TIEOFF_X43Y92
CLEXL
CLEXL_X24Y46
SLICEL SLICE_X40Y46
SLICEX SLICE_X41Y46
INT_BRAM
INT_BRAM_X25Y46
TIEOFF TIEOFF_X45Y92
INT_INTERFACE
INT_INTERFACE_X25Y46
NULL
NULL_X60Y51
INT
INT_X26Y46
TIEOFF TIEOFF_X46Y92
CLEXL
CLEXL_X26Y46
SLICEL SLICE_X42Y46
SLICEX SLICE_X43Y46
INT
INT_X27Y46
TIEOFF TIEOFF_X48Y92
CLEXM
CLEXM_X27Y46
SLICEM SLICE_X44Y46
SLICEX SLICE_X45Y46
INT
INT_X28Y46
TIEOFF TIEOFF_X50Y92
CLEXL
CLEXL_X28Y46
SLICEL SLICE_X46Y46
SLICEX SLICE_X47Y46
INT
INT_X29Y46
TIEOFF TIEOFF_X51Y92
CLEXM
CLEXM_X29Y46
SLICEM SLICE_X48Y46
SLICEX SLICE_X49Y46
INT
INT_X30Y46
TIEOFF TIEOFF_X53Y92
CLEXL
CLEXL_X30Y46
SLICEL SLICE_X50Y46
SLICEX SLICE_X51Y46
INT
INT_X31Y46
TIEOFF TIEOFF_X55Y92
INT_INTERFACE
INT_INTERFACE_X31Y46
NULL
NULL_X73Y51
INT
INT_X32Y46
TIEOFF TIEOFF_X56Y92
CLEXM
CLEXM_X32Y46
SLICEM SLICE_X52Y46
SLICEX SLICE_X53Y46
INT
INT_X33Y46
TIEOFF TIEOFF_X58Y92
CLEXL
CLEXL_X33Y46
SLICEL SLICE_X54Y46
SLICEX SLICE_X55Y46
INT_BRAM
INT_BRAM_X34Y46
TIEOFF TIEOFF_X60Y92
INT_INTERFACE
INT_INTERFACE_X34Y46
NULL
NULL_X80Y51
INT
INT_X35Y46
TIEOFF TIEOFF_X61Y92
CLEXM
CLEXM_X35Y46
SLICEM SLICE_X56Y46
SLICEX SLICE_X57Y46
INT
INT_X36Y46
TIEOFF TIEOFF_X63Y92
CLEXL
CLEXL_X36Y46
SLICEL SLICE_X58Y46
SLICEX SLICE_X59Y46
IOI_INT
IOI_INT_X37Y46
TIEOFF TIEOFF_X65Y92
RIOI
RIOI_X37Y46
OLOGIC2 OLOGIC_X27Y44
IODELAY2 IODELAY_X27Y44
ILOGIC2 ILOGIC_X27Y44
OLOGIC2 OLOGIC_X27Y45
IODELAY2 IODELAY_X27Y45
ILOGIC2 ILOGIC_X27Y45
TIEOFF TIEOFF_X66Y92
MCB_INT_BOT
MCB_INT_BOT_X37Y46
IOI_RTERM
IOI_RTERM_X88Y51
RIOB
RIOB_X37Y46
IOBS U22
IOBM U20
EMP_LIOB
EMP_LIOB_X0Y50
IOI_LTERM
IOI_LTERM_X1Y50
INT
INT_X0Y45
TIEOFF TIEOFF_X0Y90
INT_INTERFACE
INT_INTERFACE_X0Y45
NULL
NULL_X4Y50
INT
INT_X1Y45
TIEOFF TIEOFF_X2Y90
CLEXL
CLEXL_X1Y45
SLICEL SLICE_X0Y45
SLICEX SLICE_X1Y45
INT
INT_X2Y45
TIEOFF TIEOFF_X4Y90
CLEXM
CLEXM_X2Y45
SLICEM SLICE_X2Y45
SLICEX SLICE_X3Y45
INT_BRAM
INT_BRAM_X3Y45
TIEOFF TIEOFF_X6Y90
INT_INTERFACE
INT_INTERFACE_X3Y45
NULL
NULL_X11Y50
INT
INT_X4Y45
TIEOFF TIEOFF_X7Y90
CLEXL
CLEXL_X4Y45
SLICEL SLICE_X4Y45
SLICEX SLICE_X5Y45
INT
INT_X5Y45
TIEOFF TIEOFF_X9Y90
CLEXM
CLEXM_X5Y45
SLICEM SLICE_X6Y45
SLICEX SLICE_X7Y45
INT
INT_X6Y45
TIEOFF TIEOFF_X11Y90
INT_INTERFACE
INT_INTERFACE_X6Y45
NULL
NULL_X18Y50
INT
INT_X7Y45
TIEOFF TIEOFF_X12Y90
CLEXL
CLEXL_X7Y45
SLICEL SLICE_X8Y45
SLICEX SLICE_X9Y45
INT
INT_X8Y45
TIEOFF TIEOFF_X14Y90
CLEXM
CLEXM_X8Y45
SLICEM SLICE_X10Y45
SLICEX SLICE_X11Y45
INT
INT_X9Y45
TIEOFF TIEOFF_X16Y90
CLEXL
CLEXL_X9Y45
SLICEL SLICE_X12Y45
SLICEX SLICE_X13Y45
INT
INT_X10Y45
TIEOFF TIEOFF_X17Y90
CLEXM
CLEXM_X10Y45
SLICEM SLICE_X14Y45
SLICEX SLICE_X15Y45
INT
INT_X11Y45
TIEOFF TIEOFF_X19Y90
CLEXL
CLEXL_X11Y45
SLICEL SLICE_X16Y45
SLICEX SLICE_X17Y45
INT_BRAM
INT_BRAM_X12Y45
TIEOFF TIEOFF_X21Y90
INT_INTERFACE
INT_INTERFACE_X12Y45
NULL
NULL_X31Y50
INT
INT_X13Y45
TIEOFF TIEOFF_X22Y90
CLEXM
CLEXM_X13Y45
SLICEM SLICE_X18Y45
SLICEX SLICE_X19Y45
INT
INT_X14Y45
TIEOFF TIEOFF_X24Y90
CLEXL
CLEXL_X14Y45
SLICEL SLICE_X20Y45
SLICEX SLICE_X21Y45
INT
INT_X15Y45
TIEOFF TIEOFF_X26Y90
CLEXM
CLEXM_X15Y45
SLICEM SLICE_X22Y45
SLICEX SLICE_X23Y45
INT
INT_X16Y45
TIEOFF TIEOFF_X28Y90
CLEXL
CLEXL_X16Y45
SLICEL SLICE_X24Y45
SLICEX SLICE_X25Y45
INT
INT_X17Y45
TIEOFF TIEOFF_X29Y90
CLEXM
CLEXM_X17Y45
SLICEM SLICE_X26Y45
SLICEX SLICE_X27Y45
INT
INT_X18Y45
TIEOFF TIEOFF_X31Y90
CLEXL
CLEXL_X18Y45
SLICEL SLICE_X28Y45
SLICEX SLICE_X29Y45
NULL
NULL_X44Y50
REG_V
REG_V_X18Y45
INT
INT_X19Y45
TIEOFF TIEOFF_X34Y90
CLEXM
CLEXM_X19Y45
SLICEM SLICE_X30Y45
SLICEX SLICE_X31Y45
INT
INT_X20Y45
TIEOFF TIEOFF_X36Y90
CLEXL
CLEXL_X20Y45
SLICEL SLICE_X32Y45
SLICEX SLICE_X33Y45
INT
INT_X21Y45
TIEOFF TIEOFF_X38Y90
CLEXM
CLEXM_X21Y45
SLICEM SLICE_X34Y45
SLICEX SLICE_X35Y45
INT
INT_X22Y45
TIEOFF TIEOFF_X39Y90
CLEXL
CLEXL_X22Y45
SLICEL SLICE_X36Y45
SLICEX SLICE_X37Y45
INT
INT_X23Y45
TIEOFF TIEOFF_X41Y90
CLEXM
CLEXM_X23Y45
SLICEM SLICE_X38Y45
SLICEX SLICE_X39Y45
INT
INT_X24Y45
TIEOFF TIEOFF_X43Y90
CLEXL
CLEXL_X24Y45
SLICEL SLICE_X40Y45
SLICEX SLICE_X41Y45
INT_BRAM
INT_BRAM_X25Y45
TIEOFF TIEOFF_X45Y90
INT_INTERFACE
INT_INTERFACE_X25Y45
NULL
NULL_X60Y50
INT
INT_X26Y45
TIEOFF TIEOFF_X46Y90
CLEXL
CLEXL_X26Y45
SLICEL SLICE_X42Y45
SLICEX SLICE_X43Y45
INT
INT_X27Y45
TIEOFF TIEOFF_X48Y90
CLEXM
CLEXM_X27Y45
SLICEM SLICE_X44Y45
SLICEX SLICE_X45Y45
INT
INT_X28Y45
TIEOFF TIEOFF_X50Y90
CLEXL
CLEXL_X28Y45
SLICEL SLICE_X46Y45
SLICEX SLICE_X47Y45
INT
INT_X29Y45
TIEOFF TIEOFF_X51Y90
CLEXM
CLEXM_X29Y45
SLICEM SLICE_X48Y45
SLICEX SLICE_X49Y45
INT
INT_X30Y45
TIEOFF TIEOFF_X53Y90
CLEXL
CLEXL_X30Y45
SLICEL SLICE_X50Y45
SLICEX SLICE_X51Y45
INT
INT_X31Y45
TIEOFF TIEOFF_X55Y90
INT_INTERFACE
INT_INTERFACE_X31Y45
NULL
NULL_X73Y50
INT
INT_X32Y45
TIEOFF TIEOFF_X56Y90
CLEXM
CLEXM_X32Y45
SLICEM SLICE_X52Y45
SLICEX SLICE_X53Y45
INT
INT_X33Y45
TIEOFF TIEOFF_X58Y90
CLEXL
CLEXL_X33Y45
SLICEL SLICE_X54Y45
SLICEX SLICE_X55Y45
INT_BRAM
INT_BRAM_X34Y45
TIEOFF TIEOFF_X60Y90
INT_INTERFACE
INT_INTERFACE_X34Y45
NULL
NULL_X80Y50
INT
INT_X35Y45
TIEOFF TIEOFF_X61Y90
CLEXM
CLEXM_X35Y45
SLICEM SLICE_X56Y45
SLICEX SLICE_X57Y45
INT
INT_X36Y45
TIEOFF TIEOFF_X63Y90
CLEXL
CLEXL_X36Y45
SLICEL SLICE_X58Y45
SLICEX SLICE_X59Y45
INT
INT_X37Y45
TIEOFF TIEOFF_X65Y90
INT_INTERFACE
INT_INTERFACE_X37Y45
NULL
NULL_X87Y50
IOI_RTERM
IOI_RTERM_X88Y50
EMP_RIOB
EMP_RIOB_X37Y45
EMP_LIOB
EMP_LIOB_X0Y49
IOI_LTERM
IOI_LTERM_X1Y49
INT
INT_X0Y44
TIEOFF TIEOFF_X0Y88
INT_INTERFACE
INT_INTERFACE_X0Y44
MCB_MUI3
MCB_MUI3_X0Y44
INT
INT_X1Y44
TIEOFF TIEOFF_X2Y88
CLEXL
CLEXL_X1Y44
SLICEL SLICE_X0Y44
SLICEX SLICE_X1Y44
INT
INT_X2Y44
TIEOFF TIEOFF_X4Y88
CLEXM
CLEXM_X2Y44
SLICEM SLICE_X2Y44
SLICEX SLICE_X3Y44
INT_BRAM
INT_BRAM_X3Y44
TIEOFF TIEOFF_X6Y88
INT_INTERFACE
INT_INTERFACE_X3Y44
BRAMSITE2
BRAMSITE2_X3Y44
RAMB16BWER RAMB16_X0Y22
RAMB8BWER RAMB8_X0Y22
RAMB8BWER RAMB8_X0Y23
INT
INT_X4Y44
TIEOFF TIEOFF_X7Y88
CLEXL
CLEXL_X4Y44
SLICEL SLICE_X4Y44
SLICEX SLICE_X5Y44
INT
INT_X5Y44
TIEOFF TIEOFF_X9Y88
CLEXM
CLEXM_X5Y44
SLICEM SLICE_X6Y44
SLICEX SLICE_X7Y44
INT
INT_X6Y44
TIEOFF TIEOFF_X11Y88
INT_INTERFACE
INT_INTERFACE_X6Y44
MACCSITE2
MACCSITE2_X6Y44
DSP48A1 DSP48_X0Y11
INT
INT_X7Y44
TIEOFF TIEOFF_X12Y88
CLEXL
CLEXL_X7Y44
SLICEL SLICE_X8Y44
SLICEX SLICE_X9Y44
INT
INT_X8Y44
TIEOFF TIEOFF_X14Y88
CLEXM
CLEXM_X8Y44
SLICEM SLICE_X10Y44
SLICEX SLICE_X11Y44
INT
INT_X9Y44
TIEOFF TIEOFF_X16Y88
CLEXL
CLEXL_X9Y44
SLICEL SLICE_X12Y44
SLICEX SLICE_X13Y44
INT
INT_X10Y44
TIEOFF TIEOFF_X17Y88
CLEXM
CLEXM_X10Y44
SLICEM SLICE_X14Y44
SLICEX SLICE_X15Y44
INT
INT_X11Y44
TIEOFF TIEOFF_X19Y88
CLEXL
CLEXL_X11Y44
SLICEL SLICE_X16Y44
SLICEX SLICE_X17Y44
INT_BRAM
INT_BRAM_X12Y44
TIEOFF TIEOFF_X21Y88
INT_INTERFACE
INT_INTERFACE_X12Y44
BRAMSITE2
BRAMSITE2_X12Y44
RAMB16BWER RAMB16_X1Y22
RAMB8BWER RAMB8_X1Y22
RAMB8BWER RAMB8_X1Y23
INT
INT_X13Y44
TIEOFF TIEOFF_X22Y88
CLEXM
CLEXM_X13Y44
SLICEM SLICE_X18Y44
SLICEX SLICE_X19Y44
INT
INT_X14Y44
TIEOFF TIEOFF_X24Y88
CLEXL
CLEXL_X14Y44
SLICEL SLICE_X20Y44
SLICEX SLICE_X21Y44
INT
INT_X15Y44
TIEOFF TIEOFF_X26Y88
CLEXM
CLEXM_X15Y44
SLICEM SLICE_X22Y44
SLICEX SLICE_X23Y44
INT
INT_X16Y44
TIEOFF TIEOFF_X28Y88
CLEXL
CLEXL_X16Y44
SLICEL SLICE_X24Y44
SLICEX SLICE_X25Y44
INT
INT_X17Y44
TIEOFF TIEOFF_X29Y88
CLEXM
CLEXM_X17Y44
SLICEM SLICE_X26Y44
SLICEX SLICE_X27Y44
INT
INT_X18Y44
TIEOFF TIEOFF_X31Y88
CLEXL
CLEXL_X18Y44
SLICEL SLICE_X28Y44
SLICEX SLICE_X29Y44
NULL
NULL_X44Y49
REG_V
REG_V_X18Y44
INT
INT_X19Y44
TIEOFF TIEOFF_X34Y88
CLEXM
CLEXM_X19Y44
SLICEM SLICE_X30Y44
SLICEX SLICE_X31Y44
INT
INT_X20Y44
TIEOFF TIEOFF_X36Y88
CLEXL
CLEXL_X20Y44
SLICEL SLICE_X32Y44
SLICEX SLICE_X33Y44
INT
INT_X21Y44
TIEOFF TIEOFF_X38Y88
CLEXM
CLEXM_X21Y44
SLICEM SLICE_X34Y44
SLICEX SLICE_X35Y44
INT
INT_X22Y44
TIEOFF TIEOFF_X39Y88
CLEXL
CLEXL_X22Y44
SLICEL SLICE_X36Y44
SLICEX SLICE_X37Y44
INT
INT_X23Y44
TIEOFF TIEOFF_X41Y88
CLEXM
CLEXM_X23Y44
SLICEM SLICE_X38Y44
SLICEX SLICE_X39Y44
INT
INT_X24Y44
TIEOFF TIEOFF_X43Y88
CLEXL
CLEXL_X24Y44
SLICEL SLICE_X40Y44
SLICEX SLICE_X41Y44
INT_BRAM
INT_BRAM_X25Y44
TIEOFF TIEOFF_X45Y88
INT_INTERFACE
INT_INTERFACE_X25Y44
BRAMSITE2
BRAMSITE2_X25Y44
RAMB16BWER RAMB16_X2Y22
RAMB8BWER RAMB8_X2Y22
RAMB8BWER RAMB8_X2Y23
INT
INT_X26Y44
TIEOFF TIEOFF_X46Y88
CLEXL
CLEXL_X26Y44
SLICEL SLICE_X42Y44
SLICEX SLICE_X43Y44
INT
INT_X27Y44
TIEOFF TIEOFF_X48Y88
CLEXM
CLEXM_X27Y44
SLICEM SLICE_X44Y44
SLICEX SLICE_X45Y44
INT
INT_X28Y44
TIEOFF TIEOFF_X50Y88
CLEXL
CLEXL_X28Y44
SLICEL SLICE_X46Y44
SLICEX SLICE_X47Y44
INT
INT_X29Y44
TIEOFF TIEOFF_X51Y88
CLEXM
CLEXM_X29Y44
SLICEM SLICE_X48Y44
SLICEX SLICE_X49Y44
INT
INT_X30Y44
TIEOFF TIEOFF_X53Y88
CLEXL
CLEXL_X30Y44
SLICEL SLICE_X50Y44
SLICEX SLICE_X51Y44
INT
INT_X31Y44
TIEOFF TIEOFF_X55Y88
INT_INTERFACE
INT_INTERFACE_X31Y44
MACCSITE2
MACCSITE2_X31Y44
DSP48A1 DSP48_X1Y11
INT
INT_X32Y44
TIEOFF TIEOFF_X56Y88
CLEXM
CLEXM_X32Y44
SLICEM SLICE_X52Y44
SLICEX SLICE_X53Y44
INT
INT_X33Y44
TIEOFF TIEOFF_X58Y88
CLEXL
CLEXL_X33Y44
SLICEL SLICE_X54Y44
SLICEX SLICE_X55Y44
INT_BRAM
INT_BRAM_X34Y44
TIEOFF TIEOFF_X60Y88
INT_INTERFACE
INT_INTERFACE_X34Y44
BRAMSITE2
BRAMSITE2_X34Y44
RAMB16BWER RAMB16_X3Y22
RAMB8BWER RAMB8_X3Y22
RAMB8BWER RAMB8_X3Y23
INT
INT_X35Y44
TIEOFF TIEOFF_X61Y88
CLEXM
CLEXM_X35Y44
SLICEM SLICE_X56Y44
SLICEX SLICE_X57Y44
INT
INT_X36Y44
TIEOFF TIEOFF_X63Y88
CLEXL
CLEXL_X36Y44
SLICEL SLICE_X58Y44
SLICEX SLICE_X59Y44
INT
INT_X37Y44
TIEOFF TIEOFF_X65Y88
INT_INTERFACE
INT_INTERFACE_X37Y44
MCB_MUI3
MCB_MUI3_X37Y44
IOI_RTERM
IOI_RTERM_X88Y49
EMP_RIOB
EMP_RIOB_X37Y44
LIOB
LIOB_X0Y43
IOBM V2
IOBS V1
IOI_LTERM
IOI_LTERM_X1Y48
LIOI_INT
LIOI_INT_X0Y43
TIEOFF TIEOFF_X0Y86
LIOI
LIOI_X0Y43
OLOGIC2 OLOGIC_X0Y42
IODELAY2 IODELAY_X0Y42
ILOGIC2 ILOGIC_X0Y42
OLOGIC2 OLOGIC_X0Y43
IODELAY2 IODELAY_X0Y43
ILOGIC2 ILOGIC_X0Y43
TIEOFF TIEOFF_X1Y86
MCB_INT_DQI
MCB_INT_DQI_X0Y43
TIEOFF TIEOFF_X1Y87
INT
INT_X1Y43
TIEOFF TIEOFF_X2Y86
CLEXL
CLEXL_X1Y43
SLICEL SLICE_X0Y43
SLICEX SLICE_X1Y43
INT
INT_X2Y43
TIEOFF TIEOFF_X4Y86
CLEXM
CLEXM_X2Y43
SLICEM SLICE_X2Y43
SLICEX SLICE_X3Y43
INT_BRAM
INT_BRAM_X3Y43
TIEOFF TIEOFF_X6Y86
INT_INTERFACE
INT_INTERFACE_X3Y43
NULL
NULL_X11Y48
INT
INT_X4Y43
TIEOFF TIEOFF_X7Y86
CLEXL
CLEXL_X4Y43
SLICEL SLICE_X4Y43
SLICEX SLICE_X5Y43
INT
INT_X5Y43
TIEOFF TIEOFF_X9Y86
CLEXM
CLEXM_X5Y43
SLICEM SLICE_X6Y43
SLICEX SLICE_X7Y43
INT
INT_X6Y43
TIEOFF TIEOFF_X11Y86
INT_INTERFACE
INT_INTERFACE_X6Y43
NULL
NULL_X18Y48
INT
INT_X7Y43
TIEOFF TIEOFF_X12Y86
CLEXL
CLEXL_X7Y43
SLICEL SLICE_X8Y43
SLICEX SLICE_X9Y43
INT
INT_X8Y43
TIEOFF TIEOFF_X14Y86
CLEXM
CLEXM_X8Y43
SLICEM SLICE_X10Y43
SLICEX SLICE_X11Y43
INT
INT_X9Y43
TIEOFF TIEOFF_X16Y86
CLEXL
CLEXL_X9Y43
SLICEL SLICE_X12Y43
SLICEX SLICE_X13Y43
INT
INT_X10Y43
TIEOFF TIEOFF_X17Y86
CLEXM
CLEXM_X10Y43
SLICEM SLICE_X14Y43
SLICEX SLICE_X15Y43
INT
INT_X11Y43
TIEOFF TIEOFF_X19Y86
CLEXL
CLEXL_X11Y43
SLICEL SLICE_X16Y43
SLICEX SLICE_X17Y43
INT_BRAM
INT_BRAM_X12Y43
TIEOFF TIEOFF_X21Y86
INT_INTERFACE
INT_INTERFACE_X12Y43
NULL
NULL_X31Y48
INT
INT_X13Y43
TIEOFF TIEOFF_X22Y86
CLEXM
CLEXM_X13Y43
SLICEM SLICE_X18Y43
SLICEX SLICE_X19Y43
INT
INT_X14Y43
TIEOFF TIEOFF_X24Y86
CLEXL
CLEXL_X14Y43
SLICEL SLICE_X20Y43
SLICEX SLICE_X21Y43
INT
INT_X15Y43
TIEOFF TIEOFF_X26Y86
CLEXM
CLEXM_X15Y43
SLICEM SLICE_X22Y43
SLICEX SLICE_X23Y43
INT
INT_X16Y43
TIEOFF TIEOFF_X28Y86
CLEXL
CLEXL_X16Y43
SLICEL SLICE_X24Y43
SLICEX SLICE_X25Y43
INT
INT_X17Y43
TIEOFF TIEOFF_X29Y86
CLEXM
CLEXM_X17Y43
SLICEM SLICE_X26Y43
SLICEX SLICE_X27Y43
INT
INT_X18Y43
TIEOFF TIEOFF_X31Y86
CLEXL
CLEXL_X18Y43
SLICEL SLICE_X28Y43
SLICEX SLICE_X29Y43
NULL
NULL_X44Y48
REG_V
REG_V_X18Y43
INT
INT_X19Y43
TIEOFF TIEOFF_X34Y86
CLEXM
CLEXM_X19Y43
SLICEM SLICE_X30Y43
SLICEX SLICE_X31Y43
INT
INT_X20Y43
TIEOFF TIEOFF_X36Y86
CLEXL
CLEXL_X20Y43
SLICEL SLICE_X32Y43
SLICEX SLICE_X33Y43
INT
INT_X21Y43
TIEOFF TIEOFF_X38Y86
CLEXM
CLEXM_X21Y43
SLICEM SLICE_X34Y43
SLICEX SLICE_X35Y43
INT
INT_X22Y43
TIEOFF TIEOFF_X39Y86
CLEXL
CLEXL_X22Y43
SLICEL SLICE_X36Y43
SLICEX SLICE_X37Y43
INT
INT_X23Y43
TIEOFF TIEOFF_X41Y86
CLEXM
CLEXM_X23Y43
SLICEM SLICE_X38Y43
SLICEX SLICE_X39Y43
INT
INT_X24Y43
TIEOFF TIEOFF_X43Y86
CLEXL
CLEXL_X24Y43
SLICEL SLICE_X40Y43
SLICEX SLICE_X41Y43
INT_BRAM
INT_BRAM_X25Y43
TIEOFF TIEOFF_X45Y86
INT_INTERFACE
INT_INTERFACE_X25Y43
NULL
NULL_X60Y48
INT
INT_X26Y43
TIEOFF TIEOFF_X46Y86
CLEXL
CLEXL_X26Y43
SLICEL SLICE_X42Y43
SLICEX SLICE_X43Y43
INT
INT_X27Y43
TIEOFF TIEOFF_X48Y86
CLEXM
CLEXM_X27Y43
SLICEM SLICE_X44Y43
SLICEX SLICE_X45Y43
INT
INT_X28Y43
TIEOFF TIEOFF_X50Y86
CLEXL
CLEXL_X28Y43
SLICEL SLICE_X46Y43
SLICEX SLICE_X47Y43
INT
INT_X29Y43
TIEOFF TIEOFF_X51Y86
CLEXM
CLEXM_X29Y43
SLICEM SLICE_X48Y43
SLICEX SLICE_X49Y43
INT
INT_X30Y43
TIEOFF TIEOFF_X53Y86
CLEXL
CLEXL_X30Y43
SLICEL SLICE_X50Y43
SLICEX SLICE_X51Y43
INT
INT_X31Y43
TIEOFF TIEOFF_X55Y86
INT_INTERFACE
INT_INTERFACE_X31Y43
NULL
NULL_X73Y48
INT
INT_X32Y43
TIEOFF TIEOFF_X56Y86
CLEXM
CLEXM_X32Y43
SLICEM SLICE_X52Y43
SLICEX SLICE_X53Y43
INT
INT_X33Y43
TIEOFF TIEOFF_X58Y86
CLEXL
CLEXL_X33Y43
SLICEL SLICE_X54Y43
SLICEX SLICE_X55Y43
INT_BRAM
INT_BRAM_X34Y43
TIEOFF TIEOFF_X60Y86
INT_INTERFACE
INT_INTERFACE_X34Y43
NULL
NULL_X80Y48
INT
INT_X35Y43
TIEOFF TIEOFF_X61Y86
CLEXM
CLEXM_X35Y43
SLICEM SLICE_X56Y43
SLICEX SLICE_X57Y43
INT
INT_X36Y43
TIEOFF TIEOFF_X63Y86
CLEXL
CLEXL_X36Y43
SLICEL SLICE_X58Y43
SLICEX SLICE_X59Y43
IOI_INT
IOI_INT_X37Y43
TIEOFF TIEOFF_X65Y86
RIOI
RIOI_X37Y43
OLOGIC2 OLOGIC_X27Y42
IODELAY2 IODELAY_X27Y42
ILOGIC2 ILOGIC_X27Y42
OLOGIC2 OLOGIC_X27Y43
IODELAY2 IODELAY_X27Y43
ILOGIC2 ILOGIC_X27Y43
TIEOFF TIEOFF_X66Y86
MCB_INT_DQI
MCB_INT_DQI_X37Y43
TIEOFF TIEOFF_X66Y87
IOI_RTERM
IOI_RTERM_X88Y48
RIOB
RIOB_X37Y43
IOBS V22
IOBM V21
EMP_LIOB
EMP_LIOB_X0Y47
IOI_LTERM
IOI_LTERM_X1Y47
INT
INT_X0Y42
TIEOFF TIEOFF_X0Y84
INT_INTERFACE
INT_INTERFACE_X0Y42
NULL
NULL_X4Y47
INT
INT_X1Y42
TIEOFF TIEOFF_X2Y84
CLEXL
CLEXL_X1Y42
SLICEL SLICE_X0Y42
SLICEX SLICE_X1Y42
INT
INT_X2Y42
TIEOFF TIEOFF_X4Y84
CLEXM
CLEXM_X2Y42
SLICEM SLICE_X2Y42
SLICEX SLICE_X3Y42
INT_BRAM
INT_BRAM_X3Y42
TIEOFF TIEOFF_X6Y84
INT_INTERFACE
INT_INTERFACE_X3Y42
NULL
NULL_X11Y47
INT
INT_X4Y42
TIEOFF TIEOFF_X7Y84
CLEXL
CLEXL_X4Y42
SLICEL SLICE_X4Y42
SLICEX SLICE_X5Y42
INT
INT_X5Y42
TIEOFF TIEOFF_X9Y84
CLEXM
CLEXM_X5Y42
SLICEM SLICE_X6Y42
SLICEX SLICE_X7Y42
INT
INT_X6Y42
TIEOFF TIEOFF_X11Y84
INT_INTERFACE
INT_INTERFACE_X6Y42
NULL
NULL_X18Y47
INT
INT_X7Y42
TIEOFF TIEOFF_X12Y84
CLEXL
CLEXL_X7Y42
SLICEL SLICE_X8Y42
SLICEX SLICE_X9Y42
INT
INT_X8Y42
TIEOFF TIEOFF_X14Y84
CLEXM
CLEXM_X8Y42
SLICEM SLICE_X10Y42
SLICEX SLICE_X11Y42
INT
INT_X9Y42
TIEOFF TIEOFF_X16Y84
CLEXL
CLEXL_X9Y42
SLICEL SLICE_X12Y42
SLICEX SLICE_X13Y42
INT
INT_X10Y42
TIEOFF TIEOFF_X17Y84
CLEXM
CLEXM_X10Y42
SLICEM SLICE_X14Y42
SLICEX SLICE_X15Y42
INT
INT_X11Y42
TIEOFF TIEOFF_X19Y84
CLEXL
CLEXL_X11Y42
SLICEL SLICE_X16Y42
SLICEX SLICE_X17Y42
INT_BRAM
INT_BRAM_X12Y42
TIEOFF TIEOFF_X21Y84
INT_INTERFACE
INT_INTERFACE_X12Y42
NULL
NULL_X31Y47
INT
INT_X13Y42
TIEOFF TIEOFF_X22Y84
CLEXM
CLEXM_X13Y42
SLICEM SLICE_X18Y42
SLICEX SLICE_X19Y42
INT
INT_X14Y42
TIEOFF TIEOFF_X24Y84
CLEXL
CLEXL_X14Y42
SLICEL SLICE_X20Y42
SLICEX SLICE_X21Y42
INT
INT_X15Y42
TIEOFF TIEOFF_X26Y84
CLEXM
CLEXM_X15Y42
SLICEM SLICE_X22Y42
SLICEX SLICE_X23Y42
INT
INT_X16Y42
TIEOFF TIEOFF_X28Y84
CLEXL
CLEXL_X16Y42
SLICEL SLICE_X24Y42
SLICEX SLICE_X25Y42
INT
INT_X17Y42
TIEOFF TIEOFF_X29Y84
CLEXM
CLEXM_X17Y42
SLICEM SLICE_X26Y42
SLICEX SLICE_X27Y42
INT
INT_X18Y42
TIEOFF TIEOFF_X31Y84
CLEXL
CLEXL_X18Y42
SLICEL SLICE_X28Y42
SLICEX SLICE_X29Y42
NULL
NULL_X44Y47
REG_V
REG_V_X18Y42
INT
INT_X19Y42
TIEOFF TIEOFF_X34Y84
CLEXM
CLEXM_X19Y42
SLICEM SLICE_X30Y42
SLICEX SLICE_X31Y42
INT
INT_X20Y42
TIEOFF TIEOFF_X36Y84
CLEXL
CLEXL_X20Y42
SLICEL SLICE_X32Y42
SLICEX SLICE_X33Y42
INT
INT_X21Y42
TIEOFF TIEOFF_X38Y84
CLEXM
CLEXM_X21Y42
SLICEM SLICE_X34Y42
SLICEX SLICE_X35Y42
INT
INT_X22Y42
TIEOFF TIEOFF_X39Y84
CLEXL
CLEXL_X22Y42
SLICEL SLICE_X36Y42
SLICEX SLICE_X37Y42
INT
INT_X23Y42
TIEOFF TIEOFF_X41Y84
CLEXM
CLEXM_X23Y42
SLICEM SLICE_X38Y42
SLICEX SLICE_X39Y42
INT
INT_X24Y42
TIEOFF TIEOFF_X43Y84
CLEXL
CLEXL_X24Y42
SLICEL SLICE_X40Y42
SLICEX SLICE_X41Y42
INT_BRAM
INT_BRAM_X25Y42
TIEOFF TIEOFF_X45Y84
INT_INTERFACE
INT_INTERFACE_X25Y42
NULL
NULL_X60Y47
INT
INT_X26Y42
TIEOFF TIEOFF_X46Y84
CLEXL
CLEXL_X26Y42
SLICEL SLICE_X42Y42
SLICEX SLICE_X43Y42
INT
INT_X27Y42
TIEOFF TIEOFF_X48Y84
CLEXM
CLEXM_X27Y42
SLICEM SLICE_X44Y42
SLICEX SLICE_X45Y42
INT
INT_X28Y42
TIEOFF TIEOFF_X50Y84
CLEXL
CLEXL_X28Y42
SLICEL SLICE_X46Y42
SLICEX SLICE_X47Y42
INT
INT_X29Y42
TIEOFF TIEOFF_X51Y84
CLEXM
CLEXM_X29Y42
SLICEM SLICE_X48Y42
SLICEX SLICE_X49Y42
INT
INT_X30Y42
TIEOFF TIEOFF_X53Y84
CLEXL
CLEXL_X30Y42
SLICEL SLICE_X50Y42
SLICEX SLICE_X51Y42
INT
INT_X31Y42
TIEOFF TIEOFF_X55Y84
INT_INTERFACE
INT_INTERFACE_X31Y42
NULL
NULL_X73Y47
INT
INT_X32Y42
TIEOFF TIEOFF_X56Y84
CLEXM
CLEXM_X32Y42
SLICEM SLICE_X52Y42
SLICEX SLICE_X53Y42
INT
INT_X33Y42
TIEOFF TIEOFF_X58Y84
CLEXL
CLEXL_X33Y42
SLICEL SLICE_X54Y42
SLICEX SLICE_X55Y42
INT_BRAM
INT_BRAM_X34Y42
TIEOFF TIEOFF_X60Y84
INT_INTERFACE
INT_INTERFACE_X34Y42
NULL
NULL_X80Y47
INT
INT_X35Y42
TIEOFF TIEOFF_X61Y84
CLEXM
CLEXM_X35Y42
SLICEM SLICE_X56Y42
SLICEX SLICE_X57Y42
INT
INT_X36Y42
TIEOFF TIEOFF_X63Y84
CLEXL
CLEXL_X36Y42
SLICEL SLICE_X58Y42
SLICEX SLICE_X59Y42
INT
INT_X37Y42
TIEOFF TIEOFF_X65Y84
INT_INTERFACE
INT_INTERFACE_X37Y42
NULL
NULL_X87Y47
IOI_RTERM
IOI_RTERM_X88Y47
EMP_RIOB
EMP_RIOB_X37Y42
EMP_LIOB
EMP_LIOB_X0Y46
IOI_LTERM
IOI_LTERM_X1Y46
INT
INT_X0Y41
TIEOFF TIEOFF_X0Y82
INT_INTERFACE
INT_INTERFACE_X0Y41
MCB_MUI4
MCB_MUI4_X0Y41
INT
INT_X1Y41
TIEOFF TIEOFF_X2Y82
CLEXL
CLEXL_X1Y41
SLICEL SLICE_X0Y41
SLICEX SLICE_X1Y41
INT
INT_X2Y41
TIEOFF TIEOFF_X4Y82
CLEXM
CLEXM_X2Y41
SLICEM SLICE_X2Y41
SLICEX SLICE_X3Y41
INT_BRAM
INT_BRAM_X3Y41
TIEOFF TIEOFF_X6Y82
INT_INTERFACE
INT_INTERFACE_X3Y41
NULL
NULL_X11Y46
INT
INT_X4Y41
TIEOFF TIEOFF_X7Y82
CLEXL
CLEXL_X4Y41
SLICEL SLICE_X4Y41
SLICEX SLICE_X5Y41
INT
INT_X5Y41
TIEOFF TIEOFF_X9Y82
CLEXM
CLEXM_X5Y41
SLICEM SLICE_X6Y41
SLICEX SLICE_X7Y41
INT
INT_X6Y41
TIEOFF TIEOFF_X11Y82
INT_INTERFACE
INT_INTERFACE_X6Y41
NULL
NULL_X18Y46
INT
INT_X7Y41
TIEOFF TIEOFF_X12Y82
CLEXL
CLEXL_X7Y41
SLICEL SLICE_X8Y41
SLICEX SLICE_X9Y41
INT
INT_X8Y41
TIEOFF TIEOFF_X14Y82
CLEXM
CLEXM_X8Y41
SLICEM SLICE_X10Y41
SLICEX SLICE_X11Y41
INT
INT_X9Y41
TIEOFF TIEOFF_X16Y82
CLEXL
CLEXL_X9Y41
SLICEL SLICE_X12Y41
SLICEX SLICE_X13Y41
INT
INT_X10Y41
TIEOFF TIEOFF_X17Y82
CLEXM
CLEXM_X10Y41
SLICEM SLICE_X14Y41
SLICEX SLICE_X15Y41
INT
INT_X11Y41
TIEOFF TIEOFF_X19Y82
CLEXL
CLEXL_X11Y41
SLICEL SLICE_X16Y41
SLICEX SLICE_X17Y41
INT_BRAM
INT_BRAM_X12Y41
TIEOFF TIEOFF_X21Y82
INT_INTERFACE
INT_INTERFACE_X12Y41
NULL
NULL_X31Y46
INT
INT_X13Y41
TIEOFF TIEOFF_X22Y82
CLEXM
CLEXM_X13Y41
SLICEM SLICE_X18Y41
SLICEX SLICE_X19Y41
INT
INT_X14Y41
TIEOFF TIEOFF_X24Y82
CLEXL
CLEXL_X14Y41
SLICEL SLICE_X20Y41
SLICEX SLICE_X21Y41
INT
INT_X15Y41
TIEOFF TIEOFF_X26Y82
CLEXM
CLEXM_X15Y41
SLICEM SLICE_X22Y41
SLICEX SLICE_X23Y41
INT
INT_X16Y41
TIEOFF TIEOFF_X28Y82
CLEXL
CLEXL_X16Y41
SLICEL SLICE_X24Y41
SLICEX SLICE_X25Y41
INT
INT_X17Y41
TIEOFF TIEOFF_X29Y82
CLEXM
CLEXM_X17Y41
SLICEM SLICE_X26Y41
SLICEX SLICE_X27Y41
INT
INT_X18Y41
TIEOFF TIEOFF_X31Y82
CLEXL
CLEXL_X18Y41
SLICEL SLICE_X28Y41
SLICEX SLICE_X29Y41
NULL
NULL_X44Y46
REG_V
REG_V_X18Y41
INT
INT_X19Y41
TIEOFF TIEOFF_X34Y82
CLEXM
CLEXM_X19Y41
SLICEM SLICE_X30Y41
SLICEX SLICE_X31Y41
INT
INT_X20Y41
TIEOFF TIEOFF_X36Y82
CLEXL
CLEXL_X20Y41
SLICEL SLICE_X32Y41
SLICEX SLICE_X33Y41
INT
INT_X21Y41
TIEOFF TIEOFF_X38Y82
CLEXM
CLEXM_X21Y41
SLICEM SLICE_X34Y41
SLICEX SLICE_X35Y41
INT
INT_X22Y41
TIEOFF TIEOFF_X39Y82
CLEXL
CLEXL_X22Y41
SLICEL SLICE_X36Y41
SLICEX SLICE_X37Y41
INT
INT_X23Y41
TIEOFF TIEOFF_X41Y82
CLEXM
CLEXM_X23Y41
SLICEM SLICE_X38Y41
SLICEX SLICE_X39Y41
INT
INT_X24Y41
TIEOFF TIEOFF_X43Y82
CLEXL
CLEXL_X24Y41
SLICEL SLICE_X40Y41
SLICEX SLICE_X41Y41
INT_BRAM
INT_BRAM_X25Y41
TIEOFF TIEOFF_X45Y82
INT_INTERFACE
INT_INTERFACE_X25Y41
NULL
NULL_X60Y46
INT
INT_X26Y41
TIEOFF TIEOFF_X46Y82
CLEXL
CLEXL_X26Y41
SLICEL SLICE_X42Y41
SLICEX SLICE_X43Y41
INT
INT_X27Y41
TIEOFF TIEOFF_X48Y82
CLEXM
CLEXM_X27Y41
SLICEM SLICE_X44Y41
SLICEX SLICE_X45Y41
INT
INT_X28Y41
TIEOFF TIEOFF_X50Y82
CLEXL
CLEXL_X28Y41
SLICEL SLICE_X46Y41
SLICEX SLICE_X47Y41
INT
INT_X29Y41
TIEOFF TIEOFF_X51Y82
CLEXM
CLEXM_X29Y41
SLICEM SLICE_X48Y41
SLICEX SLICE_X49Y41
INT
INT_X30Y41
TIEOFF TIEOFF_X53Y82
CLEXL
CLEXL_X30Y41
SLICEL SLICE_X50Y41
SLICEX SLICE_X51Y41
INT
INT_X31Y41
TIEOFF TIEOFF_X55Y82
INT_INTERFACE
INT_INTERFACE_X31Y41
NULL
NULL_X73Y46
INT
INT_X32Y41
TIEOFF TIEOFF_X56Y82
CLEXM
CLEXM_X32Y41
SLICEM SLICE_X52Y41
SLICEX SLICE_X53Y41
INT
INT_X33Y41
TIEOFF TIEOFF_X58Y82
CLEXL
CLEXL_X33Y41
SLICEL SLICE_X54Y41
SLICEX SLICE_X55Y41
INT_BRAM
INT_BRAM_X34Y41
TIEOFF TIEOFF_X60Y82
INT_INTERFACE
INT_INTERFACE_X34Y41
NULL
NULL_X80Y46
INT
INT_X35Y41
TIEOFF TIEOFF_X61Y82
CLEXM
CLEXM_X35Y41
SLICEM SLICE_X56Y41
SLICEX SLICE_X57Y41
INT
INT_X36Y41
TIEOFF TIEOFF_X63Y82
CLEXL
CLEXL_X36Y41
SLICEL SLICE_X58Y41
SLICEX SLICE_X59Y41
INT
INT_X37Y41
TIEOFF TIEOFF_X65Y82
INT_INTERFACE
INT_INTERFACE_X37Y41
MCB_MUI4
MCB_MUI4_X37Y41
IOI_RTERM
IOI_RTERM_X88Y46
EMP_RIOB
EMP_RIOB_X37Y41
LIOB
LIOB_X0Y40
IOBM W3
IOBS W1
IOI_LTERM
IOI_LTERM_X1Y45
LIOI_INT
LIOI_INT_X0Y40
TIEOFF TIEOFF_X0Y80
LIOI
LIOI_X0Y40
OLOGIC2 OLOGIC_X0Y40
IODELAY2 IODELAY_X0Y40
ILOGIC2 ILOGIC_X0Y40
OLOGIC2 OLOGIC_X0Y41
IODELAY2 IODELAY_X0Y41
ILOGIC2 ILOGIC_X0Y41
TIEOFF TIEOFF_X1Y80
MCB_INT_BOT
MCB_INT_BOT_X0Y40
INT
INT_X1Y40
TIEOFF TIEOFF_X2Y80
CLEXL
CLEXL_X1Y40
SLICEL SLICE_X0Y40
SLICEX SLICE_X1Y40
INT
INT_X2Y40
TIEOFF TIEOFF_X4Y80
CLEXM
CLEXM_X2Y40
SLICEM SLICE_X2Y40
SLICEX SLICE_X3Y40
INT_BRAM
INT_BRAM_X3Y40
TIEOFF TIEOFF_X6Y80
INT_INTERFACE
INT_INTERFACE_X3Y40
BRAMSITE2
BRAMSITE2_X3Y40
RAMB16BWER RAMB16_X0Y20
RAMB8BWER RAMB8_X0Y20
RAMB8BWER RAMB8_X0Y21
INT
INT_X4Y40
TIEOFF TIEOFF_X7Y80
CLEXL
CLEXL_X4Y40
SLICEL SLICE_X4Y40
SLICEX SLICE_X5Y40
INT
INT_X5Y40
TIEOFF TIEOFF_X9Y80
CLEXM
CLEXM_X5Y40
SLICEM SLICE_X6Y40
SLICEX SLICE_X7Y40
INT
INT_X6Y40
TIEOFF TIEOFF_X11Y80
INT_INTERFACE
INT_INTERFACE_X6Y40
MACCSITE2
MACCSITE2_X6Y40
DSP48A1 DSP48_X0Y10
INT
INT_X7Y40
TIEOFF TIEOFF_X12Y80
CLEXL
CLEXL_X7Y40
SLICEL SLICE_X8Y40
SLICEX SLICE_X9Y40
INT
INT_X8Y40
TIEOFF TIEOFF_X14Y80
CLEXM
CLEXM_X8Y40
SLICEM SLICE_X10Y40
SLICEX SLICE_X11Y40
INT
INT_X9Y40
TIEOFF TIEOFF_X16Y80
CLEXL
CLEXL_X9Y40
SLICEL SLICE_X12Y40
SLICEX SLICE_X13Y40
INT
INT_X10Y40
TIEOFF TIEOFF_X17Y80
CLEXM
CLEXM_X10Y40
SLICEM SLICE_X14Y40
SLICEX SLICE_X15Y40
INT
INT_X11Y40
TIEOFF TIEOFF_X19Y80
CLEXL
CLEXL_X11Y40
SLICEL SLICE_X16Y40
SLICEX SLICE_X17Y40
INT_BRAM
INT_BRAM_X12Y40
TIEOFF TIEOFF_X21Y80
INT_INTERFACE
INT_INTERFACE_X12Y40
BRAMSITE2
BRAMSITE2_X12Y40
RAMB16BWER RAMB16_X1Y20
RAMB8BWER RAMB8_X1Y20
RAMB8BWER RAMB8_X1Y21
INT
INT_X13Y40
TIEOFF TIEOFF_X22Y80
CLEXM
CLEXM_X13Y40
SLICEM SLICE_X18Y40
SLICEX SLICE_X19Y40
INT
INT_X14Y40
TIEOFF TIEOFF_X24Y80
CLEXL
CLEXL_X14Y40
SLICEL SLICE_X20Y40
SLICEX SLICE_X21Y40
INT
INT_X15Y40
TIEOFF TIEOFF_X26Y80
CLEXM
CLEXM_X15Y40
SLICEM SLICE_X22Y40
SLICEX SLICE_X23Y40
INT
INT_X16Y40
TIEOFF TIEOFF_X28Y80
CLEXL
CLEXL_X16Y40
SLICEL SLICE_X24Y40
SLICEX SLICE_X25Y40
INT
INT_X17Y40
TIEOFF TIEOFF_X29Y80
CLEXM
CLEXM_X17Y40
SLICEM SLICE_X26Y40
SLICEX SLICE_X27Y40
IOI_INT
IOI_INT_X18Y40
TIEOFF TIEOFF_X31Y80
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y40
CMT_DCM2_BOT
CMT_DCM2_BOT_X18Y40
DCM DCM_X0Y3
DCM DCM_X0Y2
REG_V
REG_V_X18Y40
INT
INT_X19Y40
TIEOFF TIEOFF_X34Y80
CLEXM
CLEXM_X19Y40
SLICEM SLICE_X30Y40
SLICEX SLICE_X31Y40
INT
INT_X20Y40
TIEOFF TIEOFF_X36Y80
CLEXL
CLEXL_X20Y40
SLICEL SLICE_X32Y40
SLICEX SLICE_X33Y40
INT
INT_X21Y40
TIEOFF TIEOFF_X38Y80
CLEXM
CLEXM_X21Y40
SLICEM SLICE_X34Y40
SLICEX SLICE_X35Y40
INT
INT_X22Y40
TIEOFF TIEOFF_X39Y80
CLEXL
CLEXL_X22Y40
SLICEL SLICE_X36Y40
SLICEX SLICE_X37Y40
INT
INT_X23Y40
TIEOFF TIEOFF_X41Y80
CLEXM
CLEXM_X23Y40
SLICEM SLICE_X38Y40
SLICEX SLICE_X39Y40
INT
INT_X24Y40
TIEOFF TIEOFF_X43Y80
CLEXL
CLEXL_X24Y40
SLICEL SLICE_X40Y40
SLICEX SLICE_X41Y40
INT_BRAM
INT_BRAM_X25Y40
TIEOFF TIEOFF_X45Y80
INT_INTERFACE
INT_INTERFACE_X25Y40
BRAMSITE2
BRAMSITE2_X25Y40
RAMB16BWER RAMB16_X2Y20
RAMB8BWER RAMB8_X2Y20
RAMB8BWER RAMB8_X2Y21
INT
INT_X26Y40
TIEOFF TIEOFF_X46Y80
CLEXL
CLEXL_X26Y40
SLICEL SLICE_X42Y40
SLICEX SLICE_X43Y40
INT
INT_X27Y40
TIEOFF TIEOFF_X48Y80
CLEXM
CLEXM_X27Y40
SLICEM SLICE_X44Y40
SLICEX SLICE_X45Y40
INT
INT_X28Y40
TIEOFF TIEOFF_X50Y80
CLEXL
CLEXL_X28Y40
SLICEL SLICE_X46Y40
SLICEX SLICE_X47Y40
INT
INT_X29Y40
TIEOFF TIEOFF_X51Y80
CLEXM
CLEXM_X29Y40
SLICEM SLICE_X48Y40
SLICEX SLICE_X49Y40
INT
INT_X30Y40
TIEOFF TIEOFF_X53Y80
CLEXL
CLEXL_X30Y40
SLICEL SLICE_X50Y40
SLICEX SLICE_X51Y40
INT
INT_X31Y40
TIEOFF TIEOFF_X55Y80
INT_INTERFACE
INT_INTERFACE_X31Y40
MACCSITE2
MACCSITE2_X31Y40
DSP48A1 DSP48_X1Y10
INT
INT_X32Y40
TIEOFF TIEOFF_X56Y80
CLEXM
CLEXM_X32Y40
SLICEM SLICE_X52Y40
SLICEX SLICE_X53Y40
INT
INT_X33Y40
TIEOFF TIEOFF_X58Y80
CLEXL
CLEXL_X33Y40
SLICEL SLICE_X54Y40
SLICEX SLICE_X55Y40
INT_BRAM
INT_BRAM_X34Y40
TIEOFF TIEOFF_X60Y80
INT_INTERFACE
INT_INTERFACE_X34Y40
BRAMSITE2
BRAMSITE2_X34Y40
RAMB16BWER RAMB16_X3Y20
RAMB8BWER RAMB8_X3Y20
RAMB8BWER RAMB8_X3Y21
INT
INT_X35Y40
TIEOFF TIEOFF_X61Y80
CLEXM
CLEXM_X35Y40
SLICEM SLICE_X56Y40
SLICEX SLICE_X57Y40
INT
INT_X36Y40
TIEOFF TIEOFF_X63Y80
CLEXL
CLEXL_X36Y40
SLICEL SLICE_X58Y40
SLICEX SLICE_X59Y40
IOI_INT
IOI_INT_X37Y40
TIEOFF TIEOFF_X65Y80
RIOI
RIOI_X37Y40
OLOGIC2 OLOGIC_X27Y40
IODELAY2 IODELAY_X27Y40
ILOGIC2 ILOGIC_X27Y40
OLOGIC2 OLOGIC_X27Y41
IODELAY2 IODELAY_X27Y41
ILOGIC2 ILOGIC_X27Y41
TIEOFF TIEOFF_X66Y80
MCB_INT_BOT
MCB_INT_BOT_X37Y40
IOI_RTERM
IOI_RTERM_X88Y45
RIOB
RIOB_X37Y40
IOBS W22
IOBM W20
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y44
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y44
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y39
HCLK_IOIL_BOT_UP
HCLK_IOIL_BOT_UP_X0Y39
MCB_HCLK
MCB_HCLK_X0Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y39
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y39
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y39
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y39
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y39
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y39
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y39
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y39
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y39
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y39
NULL
NULL_X44Y44
REG_V_HCLK
REG_V_HCLK_X44Y44
BUFH BUFH_X0Y95
BUFH BUFH_X0Y94
BUFH BUFH_X0Y93
BUFH BUFH_X0Y92
BUFH BUFH_X0Y91
BUFH BUFH_X0Y90
BUFH BUFH_X0Y89
BUFH BUFH_X0Y88
BUFH BUFH_X0Y87
BUFH BUFH_X0Y86
BUFH BUFH_X0Y85
BUFH BUFH_X0Y84
BUFH BUFH_X0Y83
BUFH BUFH_X0Y82
BUFH BUFH_X0Y81
BUFH BUFH_X0Y80
BUFH BUFH_X3Y79
BUFH BUFH_X3Y78
BUFH BUFH_X3Y77
BUFH BUFH_X3Y76
BUFH BUFH_X3Y75
BUFH BUFH_X3Y74
BUFH BUFH_X3Y73
BUFH BUFH_X3Y72
BUFH BUFH_X3Y71
BUFH BUFH_X3Y70
BUFH BUFH_X3Y69
BUFH BUFH_X3Y68
BUFH BUFH_X3Y67
BUFH BUFH_X3Y66
BUFH BUFH_X3Y65
BUFH BUFH_X3Y64
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y39
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y39
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y39
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y39
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y39
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y39
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y39
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y39
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y39
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y39
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y39
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y39
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y39
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y39
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y39
HCLK_IOIR_BOT_UP
HCLK_IOIR_BOT_UP_X37Y39
MCB_HCLK
MCB_HCLK_X37Y39
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y44
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y44
EMP_LIOB
EMP_LIOB_X0Y43
IOI_LTERM
IOI_LTERM_X1Y43
INT
INT_X0Y39
TIEOFF TIEOFF_X0Y78
INT_INTERFACE
INT_INTERFACE_X0Y39
NULL
NULL_X4Y43
INT
INT_X1Y39
TIEOFF TIEOFF_X2Y78
CLEXL
CLEXL_X1Y39
SLICEL SLICE_X0Y39
SLICEX SLICE_X1Y39
INT
INT_X2Y39
TIEOFF TIEOFF_X4Y78
CLEXM
CLEXM_X2Y39
SLICEM SLICE_X2Y39
SLICEX SLICE_X3Y39
INT_BRAM
INT_BRAM_X3Y39
TIEOFF TIEOFF_X6Y78
INT_INTERFACE
INT_INTERFACE_X3Y39
NULL
NULL_X11Y43
INT
INT_X4Y39
TIEOFF TIEOFF_X7Y78
CLEXL
CLEXL_X4Y39
SLICEL SLICE_X4Y39
SLICEX SLICE_X5Y39
INT
INT_X5Y39
TIEOFF TIEOFF_X9Y78
CLEXM
CLEXM_X5Y39
SLICEM SLICE_X6Y39
SLICEX SLICE_X7Y39
INT
INT_X6Y39
TIEOFF TIEOFF_X11Y78
INT_INTERFACE
INT_INTERFACE_X6Y39
NULL
NULL_X18Y43
INT
INT_X7Y39
TIEOFF TIEOFF_X12Y78
CLEXL
CLEXL_X7Y39
SLICEL SLICE_X8Y39
SLICEX SLICE_X9Y39
INT
INT_X8Y39
TIEOFF TIEOFF_X14Y78
CLEXM
CLEXM_X8Y39
SLICEM SLICE_X10Y39
SLICEX SLICE_X11Y39
INT
INT_X9Y39
TIEOFF TIEOFF_X16Y78
CLEXL
CLEXL_X9Y39
SLICEL SLICE_X12Y39
SLICEX SLICE_X13Y39
INT
INT_X10Y39
TIEOFF TIEOFF_X17Y78
CLEXM
CLEXM_X10Y39
SLICEM SLICE_X14Y39
SLICEX SLICE_X15Y39
INT
INT_X11Y39
TIEOFF TIEOFF_X19Y78
CLEXL
CLEXL_X11Y39
SLICEL SLICE_X16Y39
SLICEX SLICE_X17Y39
INT_BRAM
INT_BRAM_X12Y39
TIEOFF TIEOFF_X21Y78
INT_INTERFACE
INT_INTERFACE_X12Y39
NULL
NULL_X31Y43
INT
INT_X13Y39
TIEOFF TIEOFF_X22Y78
CLEXM
CLEXM_X13Y39
SLICEM SLICE_X18Y39
SLICEX SLICE_X19Y39
INT
INT_X14Y39
TIEOFF TIEOFF_X24Y78
CLEXL
CLEXL_X14Y39
SLICEL SLICE_X20Y39
SLICEX SLICE_X21Y39
INT
INT_X15Y39
TIEOFF TIEOFF_X26Y78
CLEXM
CLEXM_X15Y39
SLICEM SLICE_X22Y39
SLICEX SLICE_X23Y39
INT
INT_X16Y39
TIEOFF TIEOFF_X28Y78
CLEXL
CLEXL_X16Y39
SLICEL SLICE_X24Y39
SLICEX SLICE_X25Y39
INT
INT_X17Y39
TIEOFF TIEOFF_X29Y78
CLEXM
CLEXM_X17Y39
SLICEM SLICE_X26Y39
SLICEX SLICE_X27Y39
IOI_INT
IOI_INT_X18Y39
TIEOFF TIEOFF_X31Y78
INT_INTERFACE_IOI_DCMBOT
INT_INTERFACE_IOI_X18Y39
NULL
NULL_X44Y43
REG_V_MEMB_BOT
REG_V_MEMB_BOT_X18Y39
INT
INT_X19Y39
TIEOFF TIEOFF_X34Y78
CLEXM
CLEXM_X19Y39
SLICEM SLICE_X30Y39
SLICEX SLICE_X31Y39
INT
INT_X20Y39
TIEOFF TIEOFF_X36Y78
CLEXL
CLEXL_X20Y39
SLICEL SLICE_X32Y39
SLICEX SLICE_X33Y39
INT
INT_X21Y39
TIEOFF TIEOFF_X38Y78
CLEXM
CLEXM_X21Y39
SLICEM SLICE_X34Y39
SLICEX SLICE_X35Y39
INT
INT_X22Y39
TIEOFF TIEOFF_X39Y78
CLEXL
CLEXL_X22Y39
SLICEL SLICE_X36Y39
SLICEX SLICE_X37Y39
INT
INT_X23Y39
TIEOFF TIEOFF_X41Y78
CLEXM
CLEXM_X23Y39
SLICEM SLICE_X38Y39
SLICEX SLICE_X39Y39
INT
INT_X24Y39
TIEOFF TIEOFF_X43Y78
CLEXL
CLEXL_X24Y39
SLICEL SLICE_X40Y39
SLICEX SLICE_X41Y39
INT_BRAM
INT_BRAM_X25Y39
TIEOFF TIEOFF_X45Y78
INT_INTERFACE
INT_INTERFACE_X25Y39
NULL
NULL_X60Y43
INT
INT_X26Y39
TIEOFF TIEOFF_X46Y78
CLEXL
CLEXL_X26Y39
SLICEL SLICE_X42Y39
SLICEX SLICE_X43Y39
INT
INT_X27Y39
TIEOFF TIEOFF_X48Y78
CLEXM
CLEXM_X27Y39
SLICEM SLICE_X44Y39
SLICEX SLICE_X45Y39
INT
INT_X28Y39
TIEOFF TIEOFF_X50Y78
CLEXL
CLEXL_X28Y39
SLICEL SLICE_X46Y39
SLICEX SLICE_X47Y39
INT
INT_X29Y39
TIEOFF TIEOFF_X51Y78
CLEXM
CLEXM_X29Y39
SLICEM SLICE_X48Y39
SLICEX SLICE_X49Y39
INT
INT_X30Y39
TIEOFF TIEOFF_X53Y78
CLEXL
CLEXL_X30Y39
SLICEL SLICE_X50Y39
SLICEX SLICE_X51Y39
INT
INT_X31Y39
TIEOFF TIEOFF_X55Y78
INT_INTERFACE
INT_INTERFACE_X31Y39
NULL
NULL_X73Y43
INT
INT_X32Y39
TIEOFF TIEOFF_X56Y78
CLEXM
CLEXM_X32Y39
SLICEM SLICE_X52Y39
SLICEX SLICE_X53Y39
INT
INT_X33Y39
TIEOFF TIEOFF_X58Y78
CLEXL
CLEXL_X33Y39
SLICEL SLICE_X54Y39
SLICEX SLICE_X55Y39
INT_BRAM
INT_BRAM_X34Y39
TIEOFF TIEOFF_X60Y78
INT_INTERFACE
INT_INTERFACE_X34Y39
NULL
NULL_X80Y43
INT
INT_X35Y39
TIEOFF TIEOFF_X61Y78
CLEXM
CLEXM_X35Y39
SLICEM SLICE_X56Y39
SLICEX SLICE_X57Y39
INT
INT_X36Y39
TIEOFF TIEOFF_X63Y78
CLEXL
CLEXL_X36Y39
SLICEL SLICE_X58Y39
SLICEX SLICE_X59Y39
INT
INT_X37Y39
TIEOFF TIEOFF_X65Y78
INT_INTERFACE
INT_INTERFACE_X37Y39
NULL
NULL_X87Y43
IOI_RTERM
IOI_RTERM_X88Y43
EMP_RIOB
EMP_RIOB_X37Y39
EMP_LIOB
EMP_LIOB_X0Y42
IOI_LTERM
IOI_LTERM_X1Y42
INT
INT_X0Y38
TIEOFF TIEOFF_X0Y76
INT_INTERFACE
INT_INTERFACE_X0Y38
MCB_MUI5
MCB_MUI5_X0Y38
INT
INT_X1Y38
TIEOFF TIEOFF_X2Y76
CLEXL
CLEXL_X1Y38
SLICEL SLICE_X0Y38
SLICEX SLICE_X1Y38
INT
INT_X2Y38
TIEOFF TIEOFF_X4Y76
CLEXM
CLEXM_X2Y38
SLICEM SLICE_X2Y38
SLICEX SLICE_X3Y38
INT_BRAM
INT_BRAM_X3Y38
TIEOFF TIEOFF_X6Y76
INT_INTERFACE
INT_INTERFACE_X3Y38
NULL
NULL_X11Y42
INT
INT_X4Y38
TIEOFF TIEOFF_X7Y76
CLEXL
CLEXL_X4Y38
SLICEL SLICE_X4Y38
SLICEX SLICE_X5Y38
INT
INT_X5Y38
TIEOFF TIEOFF_X9Y76
CLEXM
CLEXM_X5Y38
SLICEM SLICE_X6Y38
SLICEX SLICE_X7Y38
INT
INT_X6Y38
TIEOFF TIEOFF_X11Y76
INT_INTERFACE
INT_INTERFACE_X6Y38
NULL
NULL_X18Y42
INT
INT_X7Y38
TIEOFF TIEOFF_X12Y76
CLEXL
CLEXL_X7Y38
SLICEL SLICE_X8Y38
SLICEX SLICE_X9Y38
INT
INT_X8Y38
TIEOFF TIEOFF_X14Y76
CLEXM
CLEXM_X8Y38
SLICEM SLICE_X10Y38
SLICEX SLICE_X11Y38
INT
INT_X9Y38
TIEOFF TIEOFF_X16Y76
CLEXL
CLEXL_X9Y38
SLICEL SLICE_X12Y38
SLICEX SLICE_X13Y38
INT
INT_X10Y38
TIEOFF TIEOFF_X17Y76
CLEXM
CLEXM_X10Y38
SLICEM SLICE_X14Y38
SLICEX SLICE_X15Y38
INT
INT_X11Y38
TIEOFF TIEOFF_X19Y76
CLEXL
CLEXL_X11Y38
SLICEL SLICE_X16Y38
SLICEX SLICE_X17Y38
INT_BRAM
INT_BRAM_X12Y38
TIEOFF TIEOFF_X21Y76
INT_INTERFACE
INT_INTERFACE_X12Y38
NULL
NULL_X31Y42
INT
INT_X13Y38
TIEOFF TIEOFF_X22Y76
CLEXM
CLEXM_X13Y38
SLICEM SLICE_X18Y38
SLICEX SLICE_X19Y38
INT
INT_X14Y38
TIEOFF TIEOFF_X24Y76
CLEXL
CLEXL_X14Y38
SLICEL SLICE_X20Y38
SLICEX SLICE_X21Y38
INT
INT_X15Y38
TIEOFF TIEOFF_X26Y76
CLEXM
CLEXM_X15Y38
SLICEM SLICE_X22Y38
SLICEX SLICE_X23Y38
INT
INT_X16Y38
TIEOFF TIEOFF_X28Y76
CLEXL
CLEXL_X16Y38
SLICEL SLICE_X24Y38
SLICEX SLICE_X25Y38
INT
INT_X17Y38
TIEOFF TIEOFF_X29Y76
CLEXM
CLEXM_X17Y38
SLICEM SLICE_X26Y38
SLICEX SLICE_X27Y38
INT
INT_X18Y38
TIEOFF TIEOFF_X31Y76
CLEXL
CLEXL_X18Y38
SLICEL SLICE_X28Y38
SLICEX SLICE_X29Y38
NULL
NULL_X44Y42
REG_V
REG_V_X18Y38
INT
INT_X19Y38
TIEOFF TIEOFF_X34Y76
CLEXM
CLEXM_X19Y38
SLICEM SLICE_X30Y38
SLICEX SLICE_X31Y38
INT
INT_X20Y38
TIEOFF TIEOFF_X36Y76
CLEXL
CLEXL_X20Y38
SLICEL SLICE_X32Y38
SLICEX SLICE_X33Y38
INT
INT_X21Y38
TIEOFF TIEOFF_X38Y76
CLEXM
CLEXM_X21Y38
SLICEM SLICE_X34Y38
SLICEX SLICE_X35Y38
INT
INT_X22Y38
TIEOFF TIEOFF_X39Y76
CLEXL
CLEXL_X22Y38
SLICEL SLICE_X36Y38
SLICEX SLICE_X37Y38
INT
INT_X23Y38
TIEOFF TIEOFF_X41Y76
CLEXM
CLEXM_X23Y38
SLICEM SLICE_X38Y38
SLICEX SLICE_X39Y38
INT
INT_X24Y38
TIEOFF TIEOFF_X43Y76
CLEXL
CLEXL_X24Y38
SLICEL SLICE_X40Y38
SLICEX SLICE_X41Y38
INT_BRAM
INT_BRAM_X25Y38
TIEOFF TIEOFF_X45Y76
INT_INTERFACE
INT_INTERFACE_X25Y38
NULL
NULL_X60Y42
INT
INT_X26Y38
TIEOFF TIEOFF_X46Y76
CLEXL
CLEXL_X26Y38
SLICEL SLICE_X42Y38
SLICEX SLICE_X43Y38
INT
INT_X27Y38
TIEOFF TIEOFF_X48Y76
CLEXM
CLEXM_X27Y38
SLICEM SLICE_X44Y38
SLICEX SLICE_X45Y38
INT
INT_X28Y38
TIEOFF TIEOFF_X50Y76
CLEXL
CLEXL_X28Y38
SLICEL SLICE_X46Y38
SLICEX SLICE_X47Y38
INT
INT_X29Y38
TIEOFF TIEOFF_X51Y76
CLEXM
CLEXM_X29Y38
SLICEM SLICE_X48Y38
SLICEX SLICE_X49Y38
INT
INT_X30Y38
TIEOFF TIEOFF_X53Y76
CLEXL
CLEXL_X30Y38
SLICEL SLICE_X50Y38
SLICEX SLICE_X51Y38
INT
INT_X31Y38
TIEOFF TIEOFF_X55Y76
INT_INTERFACE
INT_INTERFACE_X31Y38
NULL
NULL_X73Y42
INT
INT_X32Y38
TIEOFF TIEOFF_X56Y76
CLEXM
CLEXM_X32Y38
SLICEM SLICE_X52Y38
SLICEX SLICE_X53Y38
INT
INT_X33Y38
TIEOFF TIEOFF_X58Y76
CLEXL
CLEXL_X33Y38
SLICEL SLICE_X54Y38
SLICEX SLICE_X55Y38
INT_BRAM
INT_BRAM_X34Y38
TIEOFF TIEOFF_X60Y76
INT_INTERFACE
INT_INTERFACE_X34Y38
NULL
NULL_X80Y42
INT
INT_X35Y38
TIEOFF TIEOFF_X61Y76
CLEXM
CLEXM_X35Y38
SLICEM SLICE_X56Y38
SLICEX SLICE_X57Y38
INT
INT_X36Y38
TIEOFF TIEOFF_X63Y76
CLEXL
CLEXL_X36Y38
SLICEL SLICE_X58Y38
SLICEX SLICE_X59Y38
INT
INT_X37Y38
TIEOFF TIEOFF_X65Y76
INT_INTERFACE
INT_INTERFACE_X37Y38
MCB_MUI5
MCB_MUI5_X37Y38
IOI_RTERM
IOI_RTERM_X88Y42
EMP_RIOB
EMP_RIOB_X37Y38
LIOB
LIOB_X0Y37
IOBM Y2
IOBS Y1
IOI_LTERM
IOI_LTERM_X1Y41
LIOI_INT
LIOI_INT_X0Y37
TIEOFF TIEOFF_X0Y74
LIOI
LIOI_X0Y37
OLOGIC2 OLOGIC_X0Y38
IODELAY2 IODELAY_X0Y38
ILOGIC2 ILOGIC_X0Y38
OLOGIC2 OLOGIC_X0Y39
IODELAY2 IODELAY_X0Y39
ILOGIC2 ILOGIC_X0Y39
TIEOFF TIEOFF_X1Y74
MCB_INT_BOT
MCB_INT_BOT_X0Y37
INT
INT_X1Y37
TIEOFF TIEOFF_X2Y74
CLEXL
CLEXL_X1Y37
SLICEL SLICE_X0Y37
SLICEX SLICE_X1Y37
INT
INT_X2Y37
TIEOFF TIEOFF_X4Y74
CLEXM
CLEXM_X2Y37
SLICEM SLICE_X2Y37
SLICEX SLICE_X3Y37
INT_BRAM
INT_BRAM_X3Y37
TIEOFF TIEOFF_X6Y74
INT_INTERFACE
INT_INTERFACE_X3Y37
NULL
NULL_X11Y41
INT
INT_X4Y37
TIEOFF TIEOFF_X7Y74
CLEXL
CLEXL_X4Y37
SLICEL SLICE_X4Y37
SLICEX SLICE_X5Y37
INT
INT_X5Y37
TIEOFF TIEOFF_X9Y74
CLEXM
CLEXM_X5Y37
SLICEM SLICE_X6Y37
SLICEX SLICE_X7Y37
INT
INT_X6Y37
TIEOFF TIEOFF_X11Y74
INT_INTERFACE
INT_INTERFACE_X6Y37
NULL
NULL_X18Y41
INT
INT_X7Y37
TIEOFF TIEOFF_X12Y74
CLEXL
CLEXL_X7Y37
SLICEL SLICE_X8Y37
SLICEX SLICE_X9Y37
INT
INT_X8Y37
TIEOFF TIEOFF_X14Y74
CLEXM
CLEXM_X8Y37
SLICEM SLICE_X10Y37
SLICEX SLICE_X11Y37
INT
INT_X9Y37
TIEOFF TIEOFF_X16Y74
CLEXL
CLEXL_X9Y37
SLICEL SLICE_X12Y37
SLICEX SLICE_X13Y37
INT
INT_X10Y37
TIEOFF TIEOFF_X17Y74
CLEXM
CLEXM_X10Y37
SLICEM SLICE_X14Y37
SLICEX SLICE_X15Y37
INT
INT_X11Y37
TIEOFF TIEOFF_X19Y74
CLEXL
CLEXL_X11Y37
SLICEL SLICE_X16Y37
SLICEX SLICE_X17Y37
INT_BRAM
INT_BRAM_X12Y37
TIEOFF TIEOFF_X21Y74
INT_INTERFACE
INT_INTERFACE_X12Y37
NULL
NULL_X31Y41
INT
INT_X13Y37
TIEOFF TIEOFF_X22Y74
CLEXM
CLEXM_X13Y37
SLICEM SLICE_X18Y37
SLICEX SLICE_X19Y37
INT
INT_X14Y37
TIEOFF TIEOFF_X24Y74
CLEXL
CLEXL_X14Y37
SLICEL SLICE_X20Y37
SLICEX SLICE_X21Y37
INT
INT_X15Y37
TIEOFF TIEOFF_X26Y74
CLEXM
CLEXM_X15Y37
SLICEM SLICE_X22Y37
SLICEX SLICE_X23Y37
INT
INT_X16Y37
TIEOFF TIEOFF_X28Y74
CLEXL
CLEXL_X16Y37
SLICEL SLICE_X24Y37
SLICEX SLICE_X25Y37
INT
INT_X17Y37
TIEOFF TIEOFF_X29Y74
CLEXM
CLEXM_X17Y37
SLICEM SLICE_X26Y37
SLICEX SLICE_X27Y37
INT
INT_X18Y37
TIEOFF TIEOFF_X31Y74
CLEXL
CLEXL_X18Y37
SLICEL SLICE_X28Y37
SLICEX SLICE_X29Y37
NULL
NULL_X44Y41
REG_V
REG_V_X18Y37
INT
INT_X19Y37
TIEOFF TIEOFF_X34Y74
CLEXM
CLEXM_X19Y37
SLICEM SLICE_X30Y37
SLICEX SLICE_X31Y37
INT
INT_X20Y37
TIEOFF TIEOFF_X36Y74
CLEXL
CLEXL_X20Y37
SLICEL SLICE_X32Y37
SLICEX SLICE_X33Y37
INT
INT_X21Y37
TIEOFF TIEOFF_X38Y74
CLEXM
CLEXM_X21Y37
SLICEM SLICE_X34Y37
SLICEX SLICE_X35Y37
INT
INT_X22Y37
TIEOFF TIEOFF_X39Y74
CLEXL
CLEXL_X22Y37
SLICEL SLICE_X36Y37
SLICEX SLICE_X37Y37
INT
INT_X23Y37
TIEOFF TIEOFF_X41Y74
CLEXM
CLEXM_X23Y37
SLICEM SLICE_X38Y37
SLICEX SLICE_X39Y37
INT
INT_X24Y37
TIEOFF TIEOFF_X43Y74
CLEXL
CLEXL_X24Y37
SLICEL SLICE_X40Y37
SLICEX SLICE_X41Y37
INT_BRAM
INT_BRAM_X25Y37
TIEOFF TIEOFF_X45Y74
INT_INTERFACE
INT_INTERFACE_X25Y37
NULL
NULL_X60Y41
INT
INT_X26Y37
TIEOFF TIEOFF_X46Y74
CLEXL
CLEXL_X26Y37
SLICEL SLICE_X42Y37
SLICEX SLICE_X43Y37
INT
INT_X27Y37
TIEOFF TIEOFF_X48Y74
CLEXM
CLEXM_X27Y37
SLICEM SLICE_X44Y37
SLICEX SLICE_X45Y37
INT
INT_X28Y37
TIEOFF TIEOFF_X50Y74
CLEXL
CLEXL_X28Y37
SLICEL SLICE_X46Y37
SLICEX SLICE_X47Y37
INT
INT_X29Y37
TIEOFF TIEOFF_X51Y74
CLEXM
CLEXM_X29Y37
SLICEM SLICE_X48Y37
SLICEX SLICE_X49Y37
INT
INT_X30Y37
TIEOFF TIEOFF_X53Y74
CLEXL
CLEXL_X30Y37
SLICEL SLICE_X50Y37
SLICEX SLICE_X51Y37
INT
INT_X31Y37
TIEOFF TIEOFF_X55Y74
INT_INTERFACE
INT_INTERFACE_X31Y37
NULL
NULL_X73Y41
INT
INT_X32Y37
TIEOFF TIEOFF_X56Y74
CLEXM
CLEXM_X32Y37
SLICEM SLICE_X52Y37
SLICEX SLICE_X53Y37
INT
INT_X33Y37
TIEOFF TIEOFF_X58Y74
CLEXL
CLEXL_X33Y37
SLICEL SLICE_X54Y37
SLICEX SLICE_X55Y37
INT_BRAM
INT_BRAM_X34Y37
TIEOFF TIEOFF_X60Y74
INT_INTERFACE
INT_INTERFACE_X34Y37
NULL
NULL_X80Y41
INT
INT_X35Y37
TIEOFF TIEOFF_X61Y74
CLEXM
CLEXM_X35Y37
SLICEM SLICE_X56Y37
SLICEX SLICE_X57Y37
INT
INT_X36Y37
TIEOFF TIEOFF_X63Y74
CLEXL
CLEXL_X36Y37
SLICEL SLICE_X58Y37
SLICEX SLICE_X59Y37
IOI_INT
IOI_INT_X37Y37
TIEOFF TIEOFF_X65Y74
RIOI
RIOI_X37Y37
OLOGIC2 OLOGIC_X27Y38
IODELAY2 IODELAY_X27Y38
ILOGIC2 ILOGIC_X27Y38
OLOGIC2 OLOGIC_X27Y39
IODELAY2 IODELAY_X27Y39
ILOGIC2 ILOGIC_X27Y39
TIEOFF TIEOFF_X66Y74
MCB_INT_BOT
MCB_INT_BOT_X37Y37
IOI_RTERM
IOI_RTERM_X88Y41
RIOB
RIOB_X37Y37
IOBS Y22
IOBM Y21
LIOB
LIOB_X0Y36
IOBM M7
IOBS M8
IOI_LTERM
IOI_LTERM_X1Y40
LIOI_INT
LIOI_INT_X0Y36
TIEOFF TIEOFF_X0Y72
LIOI
LIOI_X0Y36
OLOGIC2 OLOGIC_X0Y36
IODELAY2 IODELAY_X0Y36
ILOGIC2 ILOGIC_X0Y36
OLOGIC2 OLOGIC_X0Y37
IODELAY2 IODELAY_X0Y37
ILOGIC2 ILOGIC_X0Y37
TIEOFF TIEOFF_X1Y72
MCB_CAP_INT
MCB_CAP_INT_X0Y36
INT
INT_X1Y36
TIEOFF TIEOFF_X2Y72
CLEXL
CLEXL_X1Y36
SLICEL SLICE_X0Y36
SLICEX SLICE_X1Y36
INT
INT_X2Y36
TIEOFF TIEOFF_X4Y72
CLEXM
CLEXM_X2Y36
SLICEM SLICE_X2Y36
SLICEX SLICE_X3Y36
INT_BRAM
INT_BRAM_X3Y36
TIEOFF TIEOFF_X6Y72
INT_INTERFACE
INT_INTERFACE_X3Y36
BRAMSITE2
BRAMSITE2_X3Y36
RAMB16BWER RAMB16_X0Y18
RAMB8BWER RAMB8_X0Y18
RAMB8BWER RAMB8_X0Y19
INT
INT_X4Y36
TIEOFF TIEOFF_X7Y72
CLEXL
CLEXL_X4Y36
SLICEL SLICE_X4Y36
SLICEX SLICE_X5Y36
INT
INT_X5Y36
TIEOFF TIEOFF_X9Y72
CLEXM
CLEXM_X5Y36
SLICEM SLICE_X6Y36
SLICEX SLICE_X7Y36
INT
INT_X6Y36
TIEOFF TIEOFF_X11Y72
INT_INTERFACE
INT_INTERFACE_X6Y36
MACCSITE2
MACCSITE2_X6Y36
DSP48A1 DSP48_X0Y9
INT
INT_X7Y36
TIEOFF TIEOFF_X12Y72
CLEXL
CLEXL_X7Y36
SLICEL SLICE_X8Y36
SLICEX SLICE_X9Y36
INT
INT_X8Y36
TIEOFF TIEOFF_X14Y72
CLEXM
CLEXM_X8Y36
SLICEM SLICE_X10Y36
SLICEX SLICE_X11Y36
INT
INT_X9Y36
TIEOFF TIEOFF_X16Y72
CLEXL
CLEXL_X9Y36
SLICEL SLICE_X12Y36
SLICEX SLICE_X13Y36
INT
INT_X10Y36
TIEOFF TIEOFF_X17Y72
CLEXM
CLEXM_X10Y36
SLICEM SLICE_X14Y36
SLICEX SLICE_X15Y36
INT
INT_X11Y36
TIEOFF TIEOFF_X19Y72
CLEXL
CLEXL_X11Y36
SLICEL SLICE_X16Y36
SLICEX SLICE_X17Y36
INT_BRAM
INT_BRAM_X12Y36
TIEOFF TIEOFF_X21Y72
INT_INTERFACE
INT_INTERFACE_X12Y36
BRAMSITE2
BRAMSITE2_X12Y36
RAMB16BWER RAMB16_X1Y18
RAMB8BWER RAMB8_X1Y18
RAMB8BWER RAMB8_X1Y19
INT
INT_X13Y36
TIEOFF TIEOFF_X22Y72
CLEXM
CLEXM_X13Y36
SLICEM SLICE_X18Y36
SLICEX SLICE_X19Y36
INT
INT_X14Y36
TIEOFF TIEOFF_X24Y72
CLEXL
CLEXL_X14Y36
SLICEL SLICE_X20Y36
SLICEX SLICE_X21Y36
INT
INT_X15Y36
TIEOFF TIEOFF_X26Y72
CLEXM
CLEXM_X15Y36
SLICEM SLICE_X22Y36
SLICEX SLICE_X23Y36
INT
INT_X16Y36
TIEOFF TIEOFF_X28Y72
CLEXL
CLEXL_X16Y36
SLICEL SLICE_X24Y36
SLICEX SLICE_X25Y36
INT
INT_X17Y36
TIEOFF TIEOFF_X29Y72
CLEXM
CLEXM_X17Y36
SLICEM SLICE_X26Y36
SLICEX SLICE_X27Y36
INT
INT_X18Y36
TIEOFF TIEOFF_X31Y72
CLEXL
CLEXL_X18Y36
SLICEL SLICE_X28Y36
SLICEX SLICE_X29Y36
NULL
NULL_X44Y40
REG_V
REG_V_X18Y36
INT
INT_X19Y36
TIEOFF TIEOFF_X34Y72
CLEXM
CLEXM_X19Y36
SLICEM SLICE_X30Y36
SLICEX SLICE_X31Y36
INT
INT_X20Y36
TIEOFF TIEOFF_X36Y72
CLEXL
CLEXL_X20Y36
SLICEL SLICE_X32Y36
SLICEX SLICE_X33Y36
INT
INT_X21Y36
TIEOFF TIEOFF_X38Y72
CLEXM
CLEXM_X21Y36
SLICEM SLICE_X34Y36
SLICEX SLICE_X35Y36
INT
INT_X22Y36
TIEOFF TIEOFF_X39Y72
CLEXL
CLEXL_X22Y36
SLICEL SLICE_X36Y36
SLICEX SLICE_X37Y36
INT
INT_X23Y36
TIEOFF TIEOFF_X41Y72
CLEXM
CLEXM_X23Y36
SLICEM SLICE_X38Y36
SLICEX SLICE_X39Y36
INT
INT_X24Y36
TIEOFF TIEOFF_X43Y72
CLEXL
CLEXL_X24Y36
SLICEL SLICE_X40Y36
SLICEX SLICE_X41Y36
INT_BRAM
INT_BRAM_X25Y36
TIEOFF TIEOFF_X45Y72
INT_INTERFACE
INT_INTERFACE_X25Y36
BRAMSITE2
BRAMSITE2_X25Y36
RAMB16BWER RAMB16_X2Y18
RAMB8BWER RAMB8_X2Y18
RAMB8BWER RAMB8_X2Y19
INT
INT_X26Y36
TIEOFF TIEOFF_X46Y72
CLEXL
CLEXL_X26Y36
SLICEL SLICE_X42Y36
SLICEX SLICE_X43Y36
INT
INT_X27Y36
TIEOFF TIEOFF_X48Y72
CLEXM
CLEXM_X27Y36
SLICEM SLICE_X44Y36
SLICEX SLICE_X45Y36
INT
INT_X28Y36
TIEOFF TIEOFF_X50Y72
CLEXL
CLEXL_X28Y36
SLICEL SLICE_X46Y36
SLICEX SLICE_X47Y36
INT
INT_X29Y36
TIEOFF TIEOFF_X51Y72
CLEXM
CLEXM_X29Y36
SLICEM SLICE_X48Y36
SLICEX SLICE_X49Y36
INT
INT_X30Y36
TIEOFF TIEOFF_X53Y72
CLEXL
CLEXL_X30Y36
SLICEL SLICE_X50Y36
SLICEX SLICE_X51Y36
INT
INT_X31Y36
TIEOFF TIEOFF_X55Y72
INT_INTERFACE
INT_INTERFACE_X31Y36
MACCSITE2
MACCSITE2_X31Y36
DSP48A1 DSP48_X1Y9
INT
INT_X32Y36
TIEOFF TIEOFF_X56Y72
CLEXM
CLEXM_X32Y36
SLICEM SLICE_X52Y36
SLICEX SLICE_X53Y36
INT
INT_X33Y36
TIEOFF TIEOFF_X58Y72
CLEXL
CLEXL_X33Y36
SLICEL SLICE_X54Y36
SLICEX SLICE_X55Y36
INT_BRAM
INT_BRAM_X34Y36
TIEOFF TIEOFF_X60Y72
INT_INTERFACE
INT_INTERFACE_X34Y36
BRAMSITE2
BRAMSITE2_X34Y36
RAMB16BWER RAMB16_X3Y18
RAMB8BWER RAMB8_X3Y18
RAMB8BWER RAMB8_X3Y19
INT
INT_X35Y36
TIEOFF TIEOFF_X61Y72
CLEXM
CLEXM_X35Y36
SLICEM SLICE_X56Y36
SLICEX SLICE_X57Y36
INT
INT_X36Y36
TIEOFF TIEOFF_X63Y72
CLEXL
CLEXL_X36Y36
SLICEL SLICE_X58Y36
SLICEX SLICE_X59Y36
IOI_INT
IOI_INT_X37Y36
TIEOFF TIEOFF_X65Y72
RIOI
RIOI_X37Y36
OLOGIC2 OLOGIC_X27Y36
IODELAY2 IODELAY_X27Y36
ILOGIC2 ILOGIC_X27Y36
OLOGIC2 OLOGIC_X27Y37
IODELAY2 IODELAY_X27Y37
ILOGIC2 ILOGIC_X27Y37
TIEOFF TIEOFF_X66Y72
MCB_CAP_INT
MCB_CAP_INT_X37Y36
IOI_RTERM
IOI_RTERM_X88Y40
RIOB
RIOB_X37Y36
IOBS R19
IOBM P19
LIOB
LIOB_X0Y35
IOBM T3
IOBS R4
IOI_LTERM
IOI_LTERM_X1Y39
LIOI_INT
LIOI_INT_X0Y35
TIEOFF TIEOFF_X0Y70
LIOI
LIOI_X0Y35
OLOGIC2 OLOGIC_X0Y34
IODELAY2 IODELAY_X0Y34
ILOGIC2 ILOGIC_X0Y34
OLOGIC2 OLOGIC_X0Y35
IODELAY2 IODELAY_X0Y35
ILOGIC2 ILOGIC_X0Y35
TIEOFF TIEOFF_X1Y70
MCB_CAP_INT
MCB_CAP_INT_X0Y35
INT
INT_X1Y35
TIEOFF TIEOFF_X2Y70
CLEXL
CLEXL_X1Y35
SLICEL SLICE_X0Y35
SLICEX SLICE_X1Y35
INT
INT_X2Y35
TIEOFF TIEOFF_X4Y70
CLEXM
CLEXM_X2Y35
SLICEM SLICE_X2Y35
SLICEX SLICE_X3Y35
INT_BRAM
INT_BRAM_X3Y35
TIEOFF TIEOFF_X6Y70
INT_INTERFACE
INT_INTERFACE_X3Y35
NULL
NULL_X11Y39
INT
INT_X4Y35
TIEOFF TIEOFF_X7Y70
CLEXL
CLEXL_X4Y35
SLICEL SLICE_X4Y35
SLICEX SLICE_X5Y35
INT
INT_X5Y35
TIEOFF TIEOFF_X9Y70
CLEXM
CLEXM_X5Y35
SLICEM SLICE_X6Y35
SLICEX SLICE_X7Y35
INT
INT_X6Y35
TIEOFF TIEOFF_X11Y70
INT_INTERFACE
INT_INTERFACE_X6Y35
NULL
NULL_X18Y39
INT
INT_X7Y35
TIEOFF TIEOFF_X12Y70
CLEXL
CLEXL_X7Y35
SLICEL SLICE_X8Y35
SLICEX SLICE_X9Y35
INT
INT_X8Y35
TIEOFF TIEOFF_X14Y70
CLEXM
CLEXM_X8Y35
SLICEM SLICE_X10Y35
SLICEX SLICE_X11Y35
INT
INT_X9Y35
TIEOFF TIEOFF_X16Y70
CLEXL
CLEXL_X9Y35
SLICEL SLICE_X12Y35
SLICEX SLICE_X13Y35
INT
INT_X10Y35
TIEOFF TIEOFF_X17Y70
CLEXM
CLEXM_X10Y35
SLICEM SLICE_X14Y35
SLICEX SLICE_X15Y35
INT
INT_X11Y35
TIEOFF TIEOFF_X19Y70
CLEXL
CLEXL_X11Y35
SLICEL SLICE_X16Y35
SLICEX SLICE_X17Y35
INT_BRAM
INT_BRAM_X12Y35
TIEOFF TIEOFF_X21Y70
INT_INTERFACE
INT_INTERFACE_X12Y35
NULL
NULL_X31Y39
INT
INT_X13Y35
TIEOFF TIEOFF_X22Y70
CLEXM
CLEXM_X13Y35
SLICEM SLICE_X18Y35
SLICEX SLICE_X19Y35
INT
INT_X14Y35
TIEOFF TIEOFF_X24Y70
CLEXL
CLEXL_X14Y35
SLICEL SLICE_X20Y35
SLICEX SLICE_X21Y35
INT
INT_X15Y35
TIEOFF TIEOFF_X26Y70
CLEXM
CLEXM_X15Y35
SLICEM SLICE_X22Y35
SLICEX SLICE_X23Y35
INT
INT_X16Y35
TIEOFF TIEOFF_X28Y70
CLEXL
CLEXL_X16Y35
SLICEL SLICE_X24Y35
SLICEX SLICE_X25Y35
INT
INT_X17Y35
TIEOFF TIEOFF_X29Y70
CLEXM
CLEXM_X17Y35
SLICEM SLICE_X26Y35
SLICEX SLICE_X27Y35
INT
INT_X18Y35
TIEOFF TIEOFF_X31Y70
CLEXL
CLEXL_X18Y35
SLICEL SLICE_X28Y35
SLICEX SLICE_X29Y35
NULL
NULL_X44Y39
REG_V
REG_V_X18Y35
INT
INT_X19Y35
TIEOFF TIEOFF_X34Y70
CLEXM
CLEXM_X19Y35
SLICEM SLICE_X30Y35
SLICEX SLICE_X31Y35
INT
INT_X20Y35
TIEOFF TIEOFF_X36Y70
CLEXL
CLEXL_X20Y35
SLICEL SLICE_X32Y35
SLICEX SLICE_X33Y35
INT
INT_X21Y35
TIEOFF TIEOFF_X38Y70
CLEXM
CLEXM_X21Y35
SLICEM SLICE_X34Y35
SLICEX SLICE_X35Y35
INT
INT_X22Y35
TIEOFF TIEOFF_X39Y70
CLEXL
CLEXL_X22Y35
SLICEL SLICE_X36Y35
SLICEX SLICE_X37Y35
INT
INT_X23Y35
TIEOFF TIEOFF_X41Y70
CLEXM
CLEXM_X23Y35
SLICEM SLICE_X38Y35
SLICEX SLICE_X39Y35
INT
INT_X24Y35
TIEOFF TIEOFF_X43Y70
CLEXL
CLEXL_X24Y35
SLICEL SLICE_X40Y35
SLICEX SLICE_X41Y35
INT_BRAM
INT_BRAM_X25Y35
TIEOFF TIEOFF_X45Y70
INT_INTERFACE
INT_INTERFACE_X25Y35
NULL
NULL_X60Y39
INT
INT_X26Y35
TIEOFF TIEOFF_X46Y70
CLEXL
CLEXL_X26Y35
SLICEL SLICE_X42Y35
SLICEX SLICE_X43Y35
INT
INT_X27Y35
TIEOFF TIEOFF_X48Y70
CLEXM
CLEXM_X27Y35
SLICEM SLICE_X44Y35
SLICEX SLICE_X45Y35
INT
INT_X28Y35
TIEOFF TIEOFF_X50Y70
CLEXL
CLEXL_X28Y35
SLICEL SLICE_X46Y35
SLICEX SLICE_X47Y35
INT
INT_X29Y35
TIEOFF TIEOFF_X51Y70
CLEXM
CLEXM_X29Y35
SLICEM SLICE_X48Y35
SLICEX SLICE_X49Y35
INT
INT_X30Y35
TIEOFF TIEOFF_X53Y70
CLEXL
CLEXL_X30Y35
SLICEL SLICE_X50Y35
SLICEX SLICE_X51Y35
INT
INT_X31Y35
TIEOFF TIEOFF_X55Y70
INT_INTERFACE
INT_INTERFACE_X31Y35
NULL
NULL_X73Y39
INT
INT_X32Y35
TIEOFF TIEOFF_X56Y70
CLEXM
CLEXM_X32Y35
SLICEM SLICE_X52Y35
SLICEX SLICE_X53Y35
INT
INT_X33Y35
TIEOFF TIEOFF_X58Y70
CLEXL
CLEXL_X33Y35
SLICEL SLICE_X54Y35
SLICEX SLICE_X55Y35
INT_BRAM
INT_BRAM_X34Y35
TIEOFF TIEOFF_X60Y70
INT_INTERFACE
INT_INTERFACE_X34Y35
NULL
NULL_X80Y39
INT
INT_X35Y35
TIEOFF TIEOFF_X61Y70
CLEXM
CLEXM_X35Y35
SLICEM SLICE_X56Y35
SLICEX SLICE_X57Y35
INT
INT_X36Y35
TIEOFF TIEOFF_X63Y70
CLEXL
CLEXL_X36Y35
SLICEL SLICE_X58Y35
SLICEX SLICE_X59Y35
IOI_INT
IOI_INT_X37Y35
TIEOFF TIEOFF_X65Y70
RIOI
RIOI_X37Y35
OLOGIC2 OLOGIC_X27Y34
IODELAY2 IODELAY_X27Y34
ILOGIC2 ILOGIC_X27Y34
OLOGIC2 OLOGIC_X27Y35
IODELAY2 IODELAY_X27Y35
ILOGIC2 ILOGIC_X27Y35
TIEOFF TIEOFF_X66Y70
MCB_CAP_INT
MCB_CAP_INT_X37Y35
IOI_RTERM
IOI_RTERM_X88Y39
RIOB
RIOB_X37Y35
IOBS N15
IOBM M16
LIOB
LIOB_X0Y34
IOBM P6
IOBS P7
IOI_LTERM
IOI_LTERM_X1Y38
LIOI_INT
LIOI_INT_X0Y34
TIEOFF TIEOFF_X0Y68
LIOI
LIOI_X0Y34
OLOGIC2 OLOGIC_X0Y32
IODELAY2 IODELAY_X0Y32
ILOGIC2 ILOGIC_X0Y32
OLOGIC2 OLOGIC_X0Y33
IODELAY2 IODELAY_X0Y33
ILOGIC2 ILOGIC_X0Y33
TIEOFF TIEOFF_X1Y68
MCB_CAP_INT
MCB_CAP_INT_X0Y34
INT
INT_X1Y34
TIEOFF TIEOFF_X2Y68
CLEXL
CLEXL_X1Y34
SLICEL SLICE_X0Y34
SLICEX SLICE_X1Y34
INT
INT_X2Y34
TIEOFF TIEOFF_X4Y68
CLEXM
CLEXM_X2Y34
SLICEM SLICE_X2Y34
SLICEX SLICE_X3Y34
INT_BRAM
INT_BRAM_X3Y34
TIEOFF TIEOFF_X6Y68
INT_INTERFACE
INT_INTERFACE_X3Y34
NULL
NULL_X11Y38
INT
INT_X4Y34
TIEOFF TIEOFF_X7Y68
CLEXL
CLEXL_X4Y34
SLICEL SLICE_X4Y34
SLICEX SLICE_X5Y34
INT
INT_X5Y34
TIEOFF TIEOFF_X9Y68
CLEXM
CLEXM_X5Y34
SLICEM SLICE_X6Y34
SLICEX SLICE_X7Y34
INT
INT_X6Y34
TIEOFF TIEOFF_X11Y68
INT_INTERFACE
INT_INTERFACE_X6Y34
NULL
NULL_X18Y38
INT
INT_X7Y34
TIEOFF TIEOFF_X12Y68
CLEXL
CLEXL_X7Y34
SLICEL SLICE_X8Y34
SLICEX SLICE_X9Y34
INT
INT_X8Y34
TIEOFF TIEOFF_X14Y68
CLEXM
CLEXM_X8Y34
SLICEM SLICE_X10Y34
SLICEX SLICE_X11Y34
INT
INT_X9Y34
TIEOFF TIEOFF_X16Y68
CLEXL
CLEXL_X9Y34
SLICEL SLICE_X12Y34
SLICEX SLICE_X13Y34
INT
INT_X10Y34
TIEOFF TIEOFF_X17Y68
CLEXM
CLEXM_X10Y34
SLICEM SLICE_X14Y34
SLICEX SLICE_X15Y34
INT
INT_X11Y34
TIEOFF TIEOFF_X19Y68
CLEXL
CLEXL_X11Y34
SLICEL SLICE_X16Y34
SLICEX SLICE_X17Y34
INT_BRAM
INT_BRAM_X12Y34
TIEOFF TIEOFF_X21Y68
INT_INTERFACE
INT_INTERFACE_X12Y34
NULL
NULL_X31Y38
INT
INT_X13Y34
TIEOFF TIEOFF_X22Y68
CLEXM
CLEXM_X13Y34
SLICEM SLICE_X18Y34
SLICEX SLICE_X19Y34
INT
INT_X14Y34
TIEOFF TIEOFF_X24Y68
CLEXL
CLEXL_X14Y34
SLICEL SLICE_X20Y34
SLICEX SLICE_X21Y34
INT
INT_X15Y34
TIEOFF TIEOFF_X26Y68
CLEXM
CLEXM_X15Y34
SLICEM SLICE_X22Y34
SLICEX SLICE_X23Y34
INT
INT_X16Y34
TIEOFF TIEOFF_X28Y68
CLEXL
CLEXL_X16Y34
SLICEL SLICE_X24Y34
SLICEX SLICE_X25Y34
INT
INT_X17Y34
TIEOFF TIEOFF_X29Y68
CLEXM
CLEXM_X17Y34
SLICEM SLICE_X26Y34
SLICEX SLICE_X27Y34
INT
INT_X18Y34
TIEOFF TIEOFF_X31Y68
CLEXL
CLEXL_X18Y34
SLICEL SLICE_X28Y34
SLICEX SLICE_X29Y34
NULL
NULL_X44Y38
REG_V
REG_V_X18Y34
INT
INT_X19Y34
TIEOFF TIEOFF_X34Y68
CLEXM
CLEXM_X19Y34
SLICEM SLICE_X30Y34
SLICEX SLICE_X31Y34
INT
INT_X20Y34
TIEOFF TIEOFF_X36Y68
CLEXL
CLEXL_X20Y34
SLICEL SLICE_X32Y34
SLICEX SLICE_X33Y34
INT
INT_X21Y34
TIEOFF TIEOFF_X38Y68
CLEXM
CLEXM_X21Y34
SLICEM SLICE_X34Y34
SLICEX SLICE_X35Y34
INT
INT_X22Y34
TIEOFF TIEOFF_X39Y68
CLEXL
CLEXL_X22Y34
SLICEL SLICE_X36Y34
SLICEX SLICE_X37Y34
INT
INT_X23Y34
TIEOFF TIEOFF_X41Y68
CLEXM
CLEXM_X23Y34
SLICEM SLICE_X38Y34
SLICEX SLICE_X39Y34
INT
INT_X24Y34
TIEOFF TIEOFF_X43Y68
CLEXL
CLEXL_X24Y34
SLICEL SLICE_X40Y34
SLICEX SLICE_X41Y34
INT_BRAM
INT_BRAM_X25Y34
TIEOFF TIEOFF_X45Y68
INT_INTERFACE
INT_INTERFACE_X25Y34
NULL
NULL_X60Y38
INT
INT_X26Y34
TIEOFF TIEOFF_X46Y68
CLEXL
CLEXL_X26Y34
SLICEL SLICE_X42Y34
SLICEX SLICE_X43Y34
INT
INT_X27Y34
TIEOFF TIEOFF_X48Y68
CLEXM
CLEXM_X27Y34
SLICEM SLICE_X44Y34
SLICEX SLICE_X45Y34
INT
INT_X28Y34
TIEOFF TIEOFF_X50Y68
CLEXL
CLEXL_X28Y34
SLICEL SLICE_X46Y34
SLICEX SLICE_X47Y34
INT
INT_X29Y34
TIEOFF TIEOFF_X51Y68
CLEXM
CLEXM_X29Y34
SLICEM SLICE_X48Y34
SLICEX SLICE_X49Y34
INT
INT_X30Y34
TIEOFF TIEOFF_X53Y68
CLEXL
CLEXL_X30Y34
SLICEL SLICE_X50Y34
SLICEX SLICE_X51Y34
INT
INT_X31Y34
TIEOFF TIEOFF_X55Y68
INT_INTERFACE
INT_INTERFACE_X31Y34
NULL
NULL_X73Y38
INT
INT_X32Y34
TIEOFF TIEOFF_X56Y68
CLEXM
CLEXM_X32Y34
SLICEM SLICE_X52Y34
SLICEX SLICE_X53Y34
INT
INT_X33Y34
TIEOFF TIEOFF_X58Y68
CLEXL
CLEXL_X33Y34
SLICEL SLICE_X54Y34
SLICEX SLICE_X55Y34
INT_BRAM
INT_BRAM_X34Y34
TIEOFF TIEOFF_X60Y68
INT_INTERFACE
INT_INTERFACE_X34Y34
NULL
NULL_X80Y38
INT
INT_X35Y34
TIEOFF TIEOFF_X61Y68
CLEXM
CLEXM_X35Y34
SLICEM SLICE_X56Y34
SLICEX SLICE_X57Y34
INT
INT_X36Y34
TIEOFF TIEOFF_X63Y68
CLEXL
CLEXL_X36Y34
SLICEL SLICE_X58Y34
SLICEX SLICE_X59Y34
IOI_INT
IOI_INT_X37Y34
TIEOFF TIEOFF_X65Y68
RIOI
RIOI_X37Y34
OLOGIC2 OLOGIC_X27Y32
IODELAY2 IODELAY_X27Y32
ILOGIC2 ILOGIC_X27Y32
OLOGIC2 OLOGIC_X27Y33
IODELAY2 IODELAY_X27Y33
ILOGIC2 ILOGIC_X27Y33
TIEOFF TIEOFF_X66Y68
MCB_CAP_INT
MCB_CAP_INT_X37Y34
IOI_RTERM
IOI_RTERM_X88Y38
RIOB
RIOB_X37Y34
IOBS T20
IOBM U19
EMP_LIOB
EMP_LIOB_X0Y37
IOI_LTERM
IOI_LTERM_X1Y37
INT
INT_X0Y33
TIEOFF TIEOFF_X0Y66
INT_INTERFACE
INT_INTERFACE_X0Y33
MCB_CAP_INT
MCB_CAP_INT_X0Y33
INT
INT_X1Y33
TIEOFF TIEOFF_X2Y66
CLEXL
CLEXL_X1Y33
SLICEL SLICE_X0Y33
SLICEX SLICE_X1Y33
INT
INT_X2Y33
TIEOFF TIEOFF_X4Y66
CLEXM
CLEXM_X2Y33
SLICEM SLICE_X2Y33
SLICEX SLICE_X3Y33
INT_BRAM
INT_BRAM_X3Y33
TIEOFF TIEOFF_X6Y66
INT_INTERFACE
INT_INTERFACE_X3Y33
NULL
NULL_X11Y37
INT
INT_X4Y33
TIEOFF TIEOFF_X7Y66
CLEXL
CLEXL_X4Y33
SLICEL SLICE_X4Y33
SLICEX SLICE_X5Y33
INT
INT_X5Y33
TIEOFF TIEOFF_X9Y66
CLEXM
CLEXM_X5Y33
SLICEM SLICE_X6Y33
SLICEX SLICE_X7Y33
INT
INT_X6Y33
TIEOFF TIEOFF_X11Y66
INT_INTERFACE
INT_INTERFACE_X6Y33
NULL
NULL_X18Y37
INT
INT_X7Y33
TIEOFF TIEOFF_X12Y66
CLEXL
CLEXL_X7Y33
SLICEL SLICE_X8Y33
SLICEX SLICE_X9Y33
INT
INT_X8Y33
TIEOFF TIEOFF_X14Y66
CLEXM
CLEXM_X8Y33
SLICEM SLICE_X10Y33
SLICEX SLICE_X11Y33
INT
INT_X9Y33
TIEOFF TIEOFF_X16Y66
CLEXL
CLEXL_X9Y33
SLICEL SLICE_X12Y33
SLICEX SLICE_X13Y33
INT
INT_X10Y33
TIEOFF TIEOFF_X17Y66
CLEXM
CLEXM_X10Y33
SLICEM SLICE_X14Y33
SLICEX SLICE_X15Y33
INT
INT_X11Y33
TIEOFF TIEOFF_X19Y66
CLEXL
CLEXL_X11Y33
SLICEL SLICE_X16Y33
SLICEX SLICE_X17Y33
INT_BRAM
INT_BRAM_X12Y33
TIEOFF TIEOFF_X21Y66
INT_INTERFACE
INT_INTERFACE_X12Y33
NULL
NULL_X31Y37
INT
INT_X13Y33
TIEOFF TIEOFF_X22Y66
CLEXM
CLEXM_X13Y33
SLICEM SLICE_X18Y33
SLICEX SLICE_X19Y33
INT
INT_X14Y33
TIEOFF TIEOFF_X24Y66
CLEXL
CLEXL_X14Y33
SLICEL SLICE_X20Y33
SLICEX SLICE_X21Y33
INT
INT_X15Y33
TIEOFF TIEOFF_X26Y66
CLEXM
CLEXM_X15Y33
SLICEM SLICE_X22Y33
SLICEX SLICE_X23Y33
INT
INT_X16Y33
TIEOFF TIEOFF_X28Y66
CLEXL
CLEXL_X16Y33
SLICEL SLICE_X24Y33
SLICEX SLICE_X25Y33
INT
INT_X17Y33
TIEOFF TIEOFF_X29Y66
CLEXM
CLEXM_X17Y33
SLICEM SLICE_X26Y33
SLICEX SLICE_X27Y33
INT
INT_X18Y33
TIEOFF TIEOFF_X31Y66
CLEXL
CLEXL_X18Y33
SLICEL SLICE_X28Y33
SLICEX SLICE_X29Y33
NULL
NULL_X44Y37
REG_V
REG_V_X18Y33
INT
INT_X19Y33
TIEOFF TIEOFF_X34Y66
CLEXM
CLEXM_X19Y33
SLICEM SLICE_X30Y33
SLICEX SLICE_X31Y33
INT
INT_X20Y33
TIEOFF TIEOFF_X36Y66
CLEXL
CLEXL_X20Y33
SLICEL SLICE_X32Y33
SLICEX SLICE_X33Y33
INT
INT_X21Y33
TIEOFF TIEOFF_X38Y66
CLEXM
CLEXM_X21Y33
SLICEM SLICE_X34Y33
SLICEX SLICE_X35Y33
INT
INT_X22Y33
TIEOFF TIEOFF_X39Y66
CLEXL
CLEXL_X22Y33
SLICEL SLICE_X36Y33
SLICEX SLICE_X37Y33
INT
INT_X23Y33
TIEOFF TIEOFF_X41Y66
CLEXM
CLEXM_X23Y33
SLICEM SLICE_X38Y33
SLICEX SLICE_X39Y33
INT
INT_X24Y33
TIEOFF TIEOFF_X43Y66
CLEXL
CLEXL_X24Y33
SLICEL SLICE_X40Y33
SLICEX SLICE_X41Y33
INT_BRAM
INT_BRAM_X25Y33
TIEOFF TIEOFF_X45Y66
INT_INTERFACE
INT_INTERFACE_X25Y33
NULL
NULL_X60Y37
INT
INT_X26Y33
TIEOFF TIEOFF_X46Y66
CLEXL
CLEXL_X26Y33
SLICEL SLICE_X42Y33
SLICEX SLICE_X43Y33
INT
INT_X27Y33
TIEOFF TIEOFF_X48Y66
CLEXM
CLEXM_X27Y33
SLICEM SLICE_X44Y33
SLICEX SLICE_X45Y33
INT
INT_X28Y33
TIEOFF TIEOFF_X50Y66
CLEXL
CLEXL_X28Y33
SLICEL SLICE_X46Y33
SLICEX SLICE_X47Y33
INT
INT_X29Y33
TIEOFF TIEOFF_X51Y66
CLEXM
CLEXM_X29Y33
SLICEM SLICE_X48Y33
SLICEX SLICE_X49Y33
INT
INT_X30Y33
TIEOFF TIEOFF_X53Y66
CLEXL
CLEXL_X30Y33
SLICEL SLICE_X50Y33
SLICEX SLICE_X51Y33
INT
INT_X31Y33
TIEOFF TIEOFF_X55Y66
INT_INTERFACE
INT_INTERFACE_X31Y33
NULL
NULL_X73Y37
INT
INT_X32Y33
TIEOFF TIEOFF_X56Y66
CLEXM
CLEXM_X32Y33
SLICEM SLICE_X52Y33
SLICEX SLICE_X53Y33
INT
INT_X33Y33
TIEOFF TIEOFF_X58Y66
CLEXL
CLEXL_X33Y33
SLICEL SLICE_X54Y33
SLICEX SLICE_X55Y33
INT_BRAM
INT_BRAM_X34Y33
TIEOFF TIEOFF_X60Y66
INT_INTERFACE
INT_INTERFACE_X34Y33
NULL
NULL_X80Y37
INT
INT_X35Y33
TIEOFF TIEOFF_X61Y66
CLEXM
CLEXM_X35Y33
SLICEM SLICE_X56Y33
SLICEX SLICE_X57Y33
INT
INT_X36Y33
TIEOFF TIEOFF_X63Y66
CLEXL
CLEXL_X36Y33
SLICEL SLICE_X58Y33
SLICEX SLICE_X59Y33
INT
INT_X37Y33
TIEOFF TIEOFF_X65Y66
INT_INTERFACE
INT_INTERFACE_X37Y33
MCB_CAP_INT
MCB_CAP_INT_X37Y33
IOI_RTERM
IOI_RTERM_X88Y37
EMP_RIOB
EMP_RIOB_X37Y33
LIOB
LIOB_X0Y32
IOBM U4
IOBS T4
IOI_LTERM
IOI_LTERM_X1Y36
LIOI_INT_BRK
INT_X0Y32
TIEOFF TIEOFF_X0Y64
LIOI_BRK
LIOI_BRK_X0Y32
OLOGIC2 OLOGIC_X0Y30
IODELAY2 IODELAY_X0Y30
ILOGIC2 ILOGIC_X0Y30
OLOGIC2 OLOGIC_X0Y31
IODELAY2 IODELAY_X0Y31
ILOGIC2 ILOGIC_X0Y31
TIEOFF TIEOFF_X1Y64
MCB_CAP_INT
MCB_CAP_INT_X0Y32
INT_BRK
INT_X1Y32
TIEOFF TIEOFF_X2Y64
CLEXL
CLEXL_X1Y32
SLICEL SLICE_X0Y32
SLICEX SLICE_X1Y32
INT_BRK
INT_X2Y32
TIEOFF TIEOFF_X4Y64
CLEXM
CLEXM_X2Y32
SLICEM SLICE_X2Y32
SLICEX SLICE_X3Y32
INT_BRAM_BRK
INT_BRAM_BRK_X3Y32
TIEOFF TIEOFF_X6Y64
INT_INTERFACE
INT_INTERFACE_X3Y32
BRAMSITE2
BRAMSITE2_X3Y32
RAMB16BWER RAMB16_X0Y16
RAMB8BWER RAMB8_X0Y16
RAMB8BWER RAMB8_X0Y17
INT_BRK
INT_X4Y32
TIEOFF TIEOFF_X7Y64
CLEXL
CLEXL_X4Y32
SLICEL SLICE_X4Y32
SLICEX SLICE_X5Y32
INT_BRK
INT_X5Y32
TIEOFF TIEOFF_X9Y64
CLEXM
CLEXM_X5Y32
SLICEM SLICE_X6Y32
SLICEX SLICE_X7Y32
INT_BRK
INT_X6Y32
TIEOFF TIEOFF_X11Y64
INT_INTERFACE
INT_INTERFACE_X6Y32
MACCSITE2
MACCSITE2_X6Y32
DSP48A1 DSP48_X0Y8
INT_BRK
INT_X7Y32
TIEOFF TIEOFF_X12Y64
CLEXL
CLEXL_X7Y32
SLICEL SLICE_X8Y32
SLICEX SLICE_X9Y32
INT_BRK
INT_X8Y32
TIEOFF TIEOFF_X14Y64
CLEXM
CLEXM_X8Y32
SLICEM SLICE_X10Y32
SLICEX SLICE_X11Y32
INT_BRK
INT_X9Y32
TIEOFF TIEOFF_X16Y64
CLEXL
CLEXL_X9Y32
SLICEL SLICE_X12Y32
SLICEX SLICE_X13Y32
INT_BRK
INT_X10Y32
TIEOFF TIEOFF_X17Y64
CLEXM
CLEXM_X10Y32
SLICEM SLICE_X14Y32
SLICEX SLICE_X15Y32
INT_BRK
INT_X11Y32
TIEOFF TIEOFF_X19Y64
CLEXL
CLEXL_X11Y32
SLICEL SLICE_X16Y32
SLICEX SLICE_X17Y32
INT_BRAM_BRK
INT_BRAM_BRK_X12Y32
TIEOFF TIEOFF_X21Y64
INT_INTERFACE
INT_INTERFACE_X12Y32
BRAMSITE2
BRAMSITE2_X12Y32
RAMB16BWER RAMB16_X1Y16
RAMB8BWER RAMB8_X1Y16
RAMB8BWER RAMB8_X1Y17
INT_BRK
INT_X13Y32
TIEOFF TIEOFF_X22Y64
CLEXM
CLEXM_X13Y32
SLICEM SLICE_X18Y32
SLICEX SLICE_X19Y32
INT_BRK
INT_X14Y32
TIEOFF TIEOFF_X24Y64
CLEXL
CLEXL_X14Y32
SLICEL SLICE_X20Y32
SLICEX SLICE_X21Y32
INT_BRK
INT_X15Y32
TIEOFF TIEOFF_X26Y64
CLEXM
CLEXM_X15Y32
SLICEM SLICE_X22Y32
SLICEX SLICE_X23Y32
INT_BRK
INT_X16Y32
TIEOFF TIEOFF_X28Y64
CLEXL
CLEXL_X16Y32
SLICEL SLICE_X24Y32
SLICEX SLICE_X25Y32
INT_BRK
INT_X17Y32
TIEOFF TIEOFF_X29Y64
CLEXM
CLEXM_X17Y32
SLICEM SLICE_X26Y32
SLICEX SLICE_X27Y32
INT_BRK
INT_X18Y32
TIEOFF TIEOFF_X31Y64
CLEXL
CLEXL_X18Y32
SLICEL SLICE_X28Y32
SLICEX SLICE_X29Y32
NULL
NULL_X44Y36
REG_V_HCLKBUF_BOT
REG_V_HCLKBUF_BOT_X18Y32
INT_BRK
INT_X19Y32
TIEOFF TIEOFF_X34Y64
CLEXM
CLEXM_X19Y32
SLICEM SLICE_X30Y32
SLICEX SLICE_X31Y32
INT_BRK
INT_X20Y32
TIEOFF TIEOFF_X36Y64
CLEXL
CLEXL_X20Y32
SLICEL SLICE_X32Y32
SLICEX SLICE_X33Y32
INT_BRK
INT_X21Y32
TIEOFF TIEOFF_X38Y64
CLEXM
CLEXM_X21Y32
SLICEM SLICE_X34Y32
SLICEX SLICE_X35Y32
INT_BRK
INT_X22Y32
TIEOFF TIEOFF_X39Y64
CLEXL
CLEXL_X22Y32
SLICEL SLICE_X36Y32
SLICEX SLICE_X37Y32
INT_BRK
INT_X23Y32
TIEOFF TIEOFF_X41Y64
CLEXM
CLEXM_X23Y32
SLICEM SLICE_X38Y32
SLICEX SLICE_X39Y32
INT_BRK
INT_X24Y32
TIEOFF TIEOFF_X43Y64
CLEXL
CLEXL_X24Y32
SLICEL SLICE_X40Y32
SLICEX SLICE_X41Y32
INT_BRAM_BRK
INT_BRAM_BRK_X25Y32
TIEOFF TIEOFF_X45Y64
INT_INTERFACE
INT_INTERFACE_X25Y32
BRAMSITE2
BRAMSITE2_X25Y32
RAMB16BWER RAMB16_X2Y16
RAMB8BWER RAMB8_X2Y16
RAMB8BWER RAMB8_X2Y17
INT_BRK
INT_X26Y32
TIEOFF TIEOFF_X46Y64
CLEXL
CLEXL_X26Y32
SLICEL SLICE_X42Y32
SLICEX SLICE_X43Y32
INT_BRK
INT_X27Y32
TIEOFF TIEOFF_X48Y64
CLEXM
CLEXM_X27Y32
SLICEM SLICE_X44Y32
SLICEX SLICE_X45Y32
INT_BRK
INT_X28Y32
TIEOFF TIEOFF_X50Y64
CLEXL
CLEXL_X28Y32
SLICEL SLICE_X46Y32
SLICEX SLICE_X47Y32
INT_BRK
INT_X29Y32
TIEOFF TIEOFF_X51Y64
CLEXM
CLEXM_X29Y32
SLICEM SLICE_X48Y32
SLICEX SLICE_X49Y32
INT_BRK
INT_X30Y32
TIEOFF TIEOFF_X53Y64
CLEXL
CLEXL_X30Y32
SLICEL SLICE_X50Y32
SLICEX SLICE_X51Y32
INT_BRK
INT_X31Y32
TIEOFF TIEOFF_X55Y64
INT_INTERFACE
INT_INTERFACE_X31Y32
MACCSITE2
MACCSITE2_X31Y32
DSP48A1 DSP48_X1Y8
INT_BRK
INT_X32Y32
TIEOFF TIEOFF_X56Y64
CLEXM
CLEXM_X32Y32
SLICEM SLICE_X52Y32
SLICEX SLICE_X53Y32
INT_BRK
INT_X33Y32
TIEOFF TIEOFF_X58Y64
CLEXL
CLEXL_X33Y32
SLICEL SLICE_X54Y32
SLICEX SLICE_X55Y32
INT_BRAM_BRK
INT_BRAM_BRK_X34Y32
TIEOFF TIEOFF_X60Y64
INT_INTERFACE
INT_INTERFACE_X34Y32
BRAMSITE2
BRAMSITE2_X34Y32
RAMB16BWER RAMB16_X3Y16
RAMB8BWER RAMB8_X3Y16
RAMB8BWER RAMB8_X3Y17
INT_BRK
INT_X35Y32
TIEOFF TIEOFF_X61Y64
CLEXM
CLEXM_X35Y32
SLICEM SLICE_X56Y32
SLICEX SLICE_X57Y32
INT_BRK
INT_X36Y32
TIEOFF TIEOFF_X63Y64
CLEXL
CLEXL_X36Y32
SLICEL SLICE_X58Y32
SLICEX SLICE_X59Y32
LIOI_INT_BRK
INT_X37Y32
TIEOFF TIEOFF_X65Y64
RIOI_BRK
RIOI_BRK_X37Y32
OLOGIC2 OLOGIC_X27Y30
IODELAY2 IODELAY_X27Y30
ILOGIC2 ILOGIC_X27Y30
OLOGIC2 OLOGIC_X27Y31
IODELAY2 IODELAY_X27Y31
ILOGIC2 ILOGIC_X27Y31
TIEOFF TIEOFF_X66Y64
MCB_CAP_INT
MCB_CAP_INT_X37Y32
IOI_RTERM
IOI_RTERM_X88Y36
RIOB
RIOB_X37Y32
IOBS P16
IOBM N16
EMP_LIOB
EMP_LIOB_X0Y35
IOI_LTERM
IOI_LTERM_X1Y35
INT
INT_X0Y31
TIEOFF TIEOFF_X0Y62
INT_INTERFACE
INT_INTERFACE_X0Y31
MCB_CAP_INT
MCB_CAP_INT_X0Y31
INT
INT_X1Y31
TIEOFF TIEOFF_X2Y62
CLEXL
CLEXL_X1Y31
SLICEL SLICE_X0Y31
SLICEX SLICE_X1Y31
INT
INT_X2Y31
TIEOFF TIEOFF_X4Y62
CLEXM
CLEXM_X2Y31
SLICEM SLICE_X2Y31
SLICEX SLICE_X3Y31
INT_BRAM
INT_BRAM_X3Y31
TIEOFF TIEOFF_X6Y62
INT_INTERFACE
INT_INTERFACE_X3Y31
NULL
NULL_X11Y35
INT
INT_X4Y31
TIEOFF TIEOFF_X7Y62
CLEXL
CLEXL_X4Y31
SLICEL SLICE_X4Y31
SLICEX SLICE_X5Y31
INT
INT_X5Y31
TIEOFF TIEOFF_X9Y62
CLEXM
CLEXM_X5Y31
SLICEM SLICE_X6Y31
SLICEX SLICE_X7Y31
INT
INT_X6Y31
TIEOFF TIEOFF_X11Y62
INT_INTERFACE
INT_INTERFACE_X6Y31
NULL
NULL_X18Y35
INT
INT_X7Y31
TIEOFF TIEOFF_X12Y62
CLEXL
CLEXL_X7Y31
SLICEL SLICE_X8Y31
SLICEX SLICE_X9Y31
INT
INT_X8Y31
TIEOFF TIEOFF_X14Y62
CLEXM
CLEXM_X8Y31
SLICEM SLICE_X10Y31
SLICEX SLICE_X11Y31
INT
INT_X9Y31
TIEOFF TIEOFF_X16Y62
CLEXL
CLEXL_X9Y31
SLICEL SLICE_X12Y31
SLICEX SLICE_X13Y31
INT
INT_X10Y31
TIEOFF TIEOFF_X17Y62
CLEXM
CLEXM_X10Y31
SLICEM SLICE_X14Y31
SLICEX SLICE_X15Y31
INT
INT_X11Y31
TIEOFF TIEOFF_X19Y62
CLEXL
CLEXL_X11Y31
SLICEL SLICE_X16Y31
SLICEX SLICE_X17Y31
INT_BRAM
INT_BRAM_X12Y31
TIEOFF TIEOFF_X21Y62
INT_INTERFACE
INT_INTERFACE_X12Y31
NULL
NULL_X31Y35
INT
INT_X13Y31
TIEOFF TIEOFF_X22Y62
CLEXM
CLEXM_X13Y31
SLICEM SLICE_X18Y31
SLICEX SLICE_X19Y31
INT
INT_X14Y31
TIEOFF TIEOFF_X24Y62
CLEXL
CLEXL_X14Y31
SLICEL SLICE_X20Y31
SLICEX SLICE_X21Y31
INT
INT_X15Y31
TIEOFF TIEOFF_X26Y62
CLEXM
CLEXM_X15Y31
SLICEM SLICE_X22Y31
SLICEX SLICE_X23Y31
INT
INT_X16Y31
TIEOFF TIEOFF_X28Y62
CLEXL
CLEXL_X16Y31
SLICEL SLICE_X24Y31
SLICEX SLICE_X25Y31
INT
INT_X17Y31
TIEOFF TIEOFF_X29Y62
CLEXM
CLEXM_X17Y31
SLICEM SLICE_X26Y31
SLICEX SLICE_X27Y31
INT
INT_X18Y31
TIEOFF TIEOFF_X31Y62
CLEXL
CLEXL_X18Y31
SLICEL SLICE_X28Y31
SLICEX SLICE_X29Y31
NULL
NULL_X44Y35
REG_V_MIDBUF_BOT
REG_V_MIDBUF_BOT_X18Y31
INT
INT_X19Y31
TIEOFF TIEOFF_X34Y62
CLEXM
CLEXM_X19Y31
SLICEM SLICE_X30Y31
SLICEX SLICE_X31Y31
INT
INT_X20Y31
TIEOFF TIEOFF_X36Y62
CLEXL
CLEXL_X20Y31
SLICEL SLICE_X32Y31
SLICEX SLICE_X33Y31
INT
INT_X21Y31
TIEOFF TIEOFF_X38Y62
CLEXM
CLEXM_X21Y31
SLICEM SLICE_X34Y31
SLICEX SLICE_X35Y31
INT
INT_X22Y31
TIEOFF TIEOFF_X39Y62
CLEXL
CLEXL_X22Y31
SLICEL SLICE_X36Y31
SLICEX SLICE_X37Y31
INT
INT_X23Y31
TIEOFF TIEOFF_X41Y62
CLEXM
CLEXM_X23Y31
SLICEM SLICE_X38Y31
SLICEX SLICE_X39Y31
INT
INT_X24Y31
TIEOFF TIEOFF_X43Y62
CLEXL
CLEXL_X24Y31
SLICEL SLICE_X40Y31
SLICEX SLICE_X41Y31
INT_BRAM
INT_BRAM_X25Y31
TIEOFF TIEOFF_X45Y62
INT_INTERFACE
INT_INTERFACE_X25Y31
NULL
NULL_X60Y35
INT
INT_X26Y31
TIEOFF TIEOFF_X46Y62
CLEXL
CLEXL_X26Y31
SLICEL SLICE_X42Y31
SLICEX SLICE_X43Y31
INT
INT_X27Y31
TIEOFF TIEOFF_X48Y62
CLEXM
CLEXM_X27Y31
SLICEM SLICE_X44Y31
SLICEX SLICE_X45Y31
INT
INT_X28Y31
TIEOFF TIEOFF_X50Y62
CLEXL
CLEXL_X28Y31
SLICEL SLICE_X46Y31
SLICEX SLICE_X47Y31
INT
INT_X29Y31
TIEOFF TIEOFF_X51Y62
CLEXM
CLEXM_X29Y31
SLICEM SLICE_X48Y31
SLICEX SLICE_X49Y31
INT
INT_X30Y31
TIEOFF TIEOFF_X53Y62
CLEXL
CLEXL_X30Y31
SLICEL SLICE_X50Y31
SLICEX SLICE_X51Y31
INT
INT_X31Y31
TIEOFF TIEOFF_X55Y62
INT_INTERFACE
INT_INTERFACE_X31Y31
NULL
NULL_X73Y35
INT
INT_X32Y31
TIEOFF TIEOFF_X56Y62
CLEXM
CLEXM_X32Y31
SLICEM SLICE_X52Y31
SLICEX SLICE_X53Y31
INT
INT_X33Y31
TIEOFF TIEOFF_X58Y62
CLEXL
CLEXL_X33Y31
SLICEL SLICE_X54Y31
SLICEX SLICE_X55Y31
INT_BRAM
INT_BRAM_X34Y31
TIEOFF TIEOFF_X60Y62
INT_INTERFACE
INT_INTERFACE_X34Y31
NULL
NULL_X80Y35
INT
INT_X35Y31
TIEOFF TIEOFF_X61Y62
CLEXM
CLEXM_X35Y31
SLICEM SLICE_X56Y31
SLICEX SLICE_X57Y31
INT
INT_X36Y31
TIEOFF TIEOFF_X63Y62
CLEXL
CLEXL_X36Y31
SLICEL SLICE_X58Y31
SLICEX SLICE_X59Y31
INT
INT_X37Y31
TIEOFF TIEOFF_X65Y62
INT_INTERFACE
INT_INTERFACE_X37Y31
MCB_CAP_INT
MCB_CAP_INT_X37Y31
IOI_RTERM
IOI_RTERM_X88Y35
EMP_RIOB
EMP_RIOB_X37Y31
EMP_LIOB
EMP_LIOB_X0Y34
IOI_LTERM
IOI_LTERM_X1Y34
INT
INT_X0Y30
TIEOFF TIEOFF_X0Y60
INT_INTERFACE
INT_INTERFACE_X0Y30
MCB_CAP_INT
MCB_CAP_INT_X0Y30
INT
INT_X1Y30
TIEOFF TIEOFF_X2Y60
CLEXL
CLEXL_X1Y30
SLICEL SLICE_X0Y30
SLICEX SLICE_X1Y30
INT
INT_X2Y30
TIEOFF TIEOFF_X4Y60
CLEXM
CLEXM_X2Y30
SLICEM SLICE_X2Y30
SLICEX SLICE_X3Y30
INT_BRAM
INT_BRAM_X3Y30
TIEOFF TIEOFF_X6Y60
INT_INTERFACE
INT_INTERFACE_X3Y30
NULL
NULL_X11Y34
INT
INT_X4Y30
TIEOFF TIEOFF_X7Y60
CLEXL
CLEXL_X4Y30
SLICEL SLICE_X4Y30
SLICEX SLICE_X5Y30
INT
INT_X5Y30
TIEOFF TIEOFF_X9Y60
CLEXM
CLEXM_X5Y30
SLICEM SLICE_X6Y30
SLICEX SLICE_X7Y30
INT
INT_X6Y30
TIEOFF TIEOFF_X11Y60
INT_INTERFACE
INT_INTERFACE_X6Y30
NULL
NULL_X18Y34
INT
INT_X7Y30
TIEOFF TIEOFF_X12Y60
CLEXL
CLEXL_X7Y30
SLICEL SLICE_X8Y30
SLICEX SLICE_X9Y30
INT
INT_X8Y30
TIEOFF TIEOFF_X14Y60
CLEXM
CLEXM_X8Y30
SLICEM SLICE_X10Y30
SLICEX SLICE_X11Y30
INT
INT_X9Y30
TIEOFF TIEOFF_X16Y60
CLEXL
CLEXL_X9Y30
SLICEL SLICE_X12Y30
SLICEX SLICE_X13Y30
INT
INT_X10Y30
TIEOFF TIEOFF_X17Y60
CLEXM
CLEXM_X10Y30
SLICEM SLICE_X14Y30
SLICEX SLICE_X15Y30
INT
INT_X11Y30
TIEOFF TIEOFF_X19Y60
CLEXL
CLEXL_X11Y30
SLICEL SLICE_X16Y30
SLICEX SLICE_X17Y30
INT_BRAM
INT_BRAM_X12Y30
TIEOFF TIEOFF_X21Y60
INT_INTERFACE
INT_INTERFACE_X12Y30
NULL
NULL_X31Y34
INT
INT_X13Y30
TIEOFF TIEOFF_X22Y60
CLEXM
CLEXM_X13Y30
SLICEM SLICE_X18Y30
SLICEX SLICE_X19Y30
INT
INT_X14Y30
TIEOFF TIEOFF_X24Y60
CLEXL
CLEXL_X14Y30
SLICEL SLICE_X20Y30
SLICEX SLICE_X21Y30
INT
INT_X15Y30
TIEOFF TIEOFF_X26Y60
CLEXM
CLEXM_X15Y30
SLICEM SLICE_X22Y30
SLICEX SLICE_X23Y30
INT
INT_X16Y30
TIEOFF TIEOFF_X28Y60
CLEXL
CLEXL_X16Y30
SLICEL SLICE_X24Y30
SLICEX SLICE_X25Y30
INT
INT_X17Y30
TIEOFF TIEOFF_X29Y60
CLEXM
CLEXM_X17Y30
SLICEM SLICE_X26Y30
SLICEX SLICE_X27Y30
INT
INT_X18Y30
TIEOFF TIEOFF_X31Y60
CLEXL
CLEXL_X18Y30
SLICEL SLICE_X28Y30
SLICEX SLICE_X29Y30
NULL
NULL_X44Y34
REG_V
REG_V_X18Y30
INT
INT_X19Y30
TIEOFF TIEOFF_X34Y60
CLEXM
CLEXM_X19Y30
SLICEM SLICE_X30Y30
SLICEX SLICE_X31Y30
INT
INT_X20Y30
TIEOFF TIEOFF_X36Y60
CLEXL
CLEXL_X20Y30
SLICEL SLICE_X32Y30
SLICEX SLICE_X33Y30
INT
INT_X21Y30
TIEOFF TIEOFF_X38Y60
CLEXM
CLEXM_X21Y30
SLICEM SLICE_X34Y30
SLICEX SLICE_X35Y30
INT
INT_X22Y30
TIEOFF TIEOFF_X39Y60
CLEXL
CLEXL_X22Y30
SLICEL SLICE_X36Y30
SLICEX SLICE_X37Y30
INT
INT_X23Y30
TIEOFF TIEOFF_X41Y60
CLEXM
CLEXM_X23Y30
SLICEM SLICE_X38Y30
SLICEX SLICE_X39Y30
INT
INT_X24Y30
TIEOFF TIEOFF_X43Y60
CLEXL
CLEXL_X24Y30
SLICEL SLICE_X40Y30
SLICEX SLICE_X41Y30
INT_BRAM
INT_BRAM_X25Y30
TIEOFF TIEOFF_X45Y60
INT_INTERFACE
INT_INTERFACE_X25Y30
NULL
NULL_X60Y34
INT
INT_X26Y30
TIEOFF TIEOFF_X46Y60
CLEXL
CLEXL_X26Y30
SLICEL SLICE_X42Y30
SLICEX SLICE_X43Y30
INT
INT_X27Y30
TIEOFF TIEOFF_X48Y60
CLEXM
CLEXM_X27Y30
SLICEM SLICE_X44Y30
SLICEX SLICE_X45Y30
INT
INT_X28Y30
TIEOFF TIEOFF_X50Y60
CLEXL
CLEXL_X28Y30
SLICEL SLICE_X46Y30
SLICEX SLICE_X47Y30
INT
INT_X29Y30
TIEOFF TIEOFF_X51Y60
CLEXM
CLEXM_X29Y30
SLICEM SLICE_X48Y30
SLICEX SLICE_X49Y30
INT
INT_X30Y30
TIEOFF TIEOFF_X53Y60
CLEXL
CLEXL_X30Y30
SLICEL SLICE_X50Y30
SLICEX SLICE_X51Y30
INT
INT_X31Y30
TIEOFF TIEOFF_X55Y60
INT_INTERFACE
INT_INTERFACE_X31Y30
NULL
NULL_X73Y34
INT
INT_X32Y30
TIEOFF TIEOFF_X56Y60
CLEXM
CLEXM_X32Y30
SLICEM SLICE_X52Y30
SLICEX SLICE_X53Y30
INT
INT_X33Y30
TIEOFF TIEOFF_X58Y60
CLEXL
CLEXL_X33Y30
SLICEL SLICE_X54Y30
SLICEX SLICE_X55Y30
INT_BRAM
INT_BRAM_X34Y30
TIEOFF TIEOFF_X60Y60
INT_INTERFACE
INT_INTERFACE_X34Y30
NULL
NULL_X80Y34
INT
INT_X35Y30
TIEOFF TIEOFF_X61Y60
CLEXM
CLEXM_X35Y30
SLICEM SLICE_X56Y30
SLICEX SLICE_X57Y30
INT
INT_X36Y30
TIEOFF TIEOFF_X63Y60
CLEXL
CLEXL_X36Y30
SLICEL SLICE_X58Y30
SLICEX SLICE_X59Y30
INT
INT_X37Y30
TIEOFF TIEOFF_X65Y60
INT_INTERFACE
INT_INTERFACE_X37Y30
MCB_CAP_INT
MCB_CAP_INT_X37Y30
IOI_RTERM
IOI_RTERM_X88Y34
EMP_RIOB
EMP_RIOB_X37Y30
LIOB
LIOB_X0Y29
IOBM N6
IOBS N7
IOI_LTERM
IOI_LTERM_X1Y33
LIOI_INT
LIOI_INT_X0Y29
TIEOFF TIEOFF_X0Y58
LIOI
LIOI_X0Y29
OLOGIC2 OLOGIC_X0Y28
IODELAY2 IODELAY_X0Y28
ILOGIC2 ILOGIC_X0Y28
OLOGIC2 OLOGIC_X0Y29
IODELAY2 IODELAY_X0Y29
ILOGIC2 ILOGIC_X0Y29
TIEOFF TIEOFF_X1Y58
MCB_CAP_INT
MCB_CAP_INT_X0Y29
INT
INT_X1Y29
TIEOFF TIEOFF_X2Y58
CLEXL
CLEXL_X1Y29
SLICEL SLICE_X0Y29
SLICEX SLICE_X1Y29
INT
INT_X2Y29
TIEOFF TIEOFF_X4Y58
CLEXM
CLEXM_X2Y29
SLICEM SLICE_X2Y29
SLICEX SLICE_X3Y29
INT_BRAM
INT_BRAM_X3Y29
TIEOFF TIEOFF_X6Y58
INT_INTERFACE
INT_INTERFACE_X3Y29
NULL
NULL_X11Y33
INT
INT_X4Y29
TIEOFF TIEOFF_X7Y58
CLEXL
CLEXL_X4Y29
SLICEL SLICE_X4Y29
SLICEX SLICE_X5Y29
INT
INT_X5Y29
TIEOFF TIEOFF_X9Y58
CLEXM
CLEXM_X5Y29
SLICEM SLICE_X6Y29
SLICEX SLICE_X7Y29
INT
INT_X6Y29
TIEOFF TIEOFF_X11Y58
INT_INTERFACE
INT_INTERFACE_X6Y29
NULL
NULL_X18Y33
INT
INT_X7Y29
TIEOFF TIEOFF_X12Y58
CLEXL
CLEXL_X7Y29
SLICEL SLICE_X8Y29
SLICEX SLICE_X9Y29
INT
INT_X8Y29
TIEOFF TIEOFF_X14Y58
CLEXM
CLEXM_X8Y29
SLICEM SLICE_X10Y29
SLICEX SLICE_X11Y29
INT
INT_X9Y29
TIEOFF TIEOFF_X16Y58
CLEXL
CLEXL_X9Y29
SLICEL SLICE_X12Y29
SLICEX SLICE_X13Y29
INT
INT_X10Y29
TIEOFF TIEOFF_X17Y58
CLEXM
CLEXM_X10Y29
SLICEM SLICE_X14Y29
SLICEX SLICE_X15Y29
INT
INT_X11Y29
TIEOFF TIEOFF_X19Y58
CLEXL
CLEXL_X11Y29
SLICEL SLICE_X16Y29
SLICEX SLICE_X17Y29
INT_BRAM
INT_BRAM_X12Y29
TIEOFF TIEOFF_X21Y58
INT_INTERFACE
INT_INTERFACE_X12Y29
NULL
NULL_X31Y33
INT
INT_X13Y29
TIEOFF TIEOFF_X22Y58
CLEXM
CLEXM_X13Y29
SLICEM SLICE_X18Y29
SLICEX SLICE_X19Y29
INT
INT_X14Y29
TIEOFF TIEOFF_X24Y58
CLEXL
CLEXL_X14Y29
SLICEL SLICE_X20Y29
SLICEX SLICE_X21Y29
INT
INT_X15Y29
TIEOFF TIEOFF_X26Y58
CLEXM
CLEXM_X15Y29
SLICEM SLICE_X22Y29
SLICEX SLICE_X23Y29
INT
INT_X16Y29
TIEOFF TIEOFF_X28Y58
CLEXL
CLEXL_X16Y29
SLICEL SLICE_X24Y29
SLICEX SLICE_X25Y29
INT
INT_X17Y29
TIEOFF TIEOFF_X29Y58
CLEXM
CLEXM_X17Y29
SLICEM SLICE_X26Y29
SLICEX SLICE_X27Y29
INT
INT_X18Y29
TIEOFF TIEOFF_X31Y58
CLEXL
CLEXL_X18Y29
SLICEL SLICE_X28Y29
SLICEX SLICE_X29Y29
NULL
NULL_X44Y33
REG_V
REG_V_X18Y29
INT
INT_X19Y29
TIEOFF TIEOFF_X34Y58
CLEXM
CLEXM_X19Y29
SLICEM SLICE_X30Y29
SLICEX SLICE_X31Y29
INT
INT_X20Y29
TIEOFF TIEOFF_X36Y58
CLEXL
CLEXL_X20Y29
SLICEL SLICE_X32Y29
SLICEX SLICE_X33Y29
INT
INT_X21Y29
TIEOFF TIEOFF_X38Y58
CLEXM
CLEXM_X21Y29
SLICEM SLICE_X34Y29
SLICEX SLICE_X35Y29
INT
INT_X22Y29
TIEOFF TIEOFF_X39Y58
CLEXL
CLEXL_X22Y29
SLICEL SLICE_X36Y29
SLICEX SLICE_X37Y29
INT
INT_X23Y29
TIEOFF TIEOFF_X41Y58
CLEXM
CLEXM_X23Y29
SLICEM SLICE_X38Y29
SLICEX SLICE_X39Y29
INT
INT_X24Y29
TIEOFF TIEOFF_X43Y58
CLEXL
CLEXL_X24Y29
SLICEL SLICE_X40Y29
SLICEX SLICE_X41Y29
INT_BRAM
INT_BRAM_X25Y29
TIEOFF TIEOFF_X45Y58
INT_INTERFACE
INT_INTERFACE_X25Y29
NULL
NULL_X60Y33
INT
INT_X26Y29
TIEOFF TIEOFF_X46Y58
CLEXL
CLEXL_X26Y29
SLICEL SLICE_X42Y29
SLICEX SLICE_X43Y29
INT
INT_X27Y29
TIEOFF TIEOFF_X48Y58
CLEXM
CLEXM_X27Y29
SLICEM SLICE_X44Y29
SLICEX SLICE_X45Y29
INT
INT_X28Y29
TIEOFF TIEOFF_X50Y58
CLEXL
CLEXL_X28Y29
SLICEL SLICE_X46Y29
SLICEX SLICE_X47Y29
INT
INT_X29Y29
TIEOFF TIEOFF_X51Y58
CLEXM
CLEXM_X29Y29
SLICEM SLICE_X48Y29
SLICEX SLICE_X49Y29
INT
INT_X30Y29
TIEOFF TIEOFF_X53Y58
CLEXL
CLEXL_X30Y29
SLICEL SLICE_X50Y29
SLICEX SLICE_X51Y29
INT
INT_X31Y29
TIEOFF TIEOFF_X55Y58
INT_INTERFACE
INT_INTERFACE_X31Y29
NULL
NULL_X73Y33
INT
INT_X32Y29
TIEOFF TIEOFF_X56Y58
CLEXM
CLEXM_X32Y29
SLICEM SLICE_X52Y29
SLICEX SLICE_X53Y29
INT
INT_X33Y29
TIEOFF TIEOFF_X58Y58
CLEXL
CLEXL_X33Y29
SLICEL SLICE_X54Y29
SLICEX SLICE_X55Y29
INT_BRAM
INT_BRAM_X34Y29
TIEOFF TIEOFF_X60Y58
INT_INTERFACE
INT_INTERFACE_X34Y29
NULL
NULL_X80Y33
INT
INT_X35Y29
TIEOFF TIEOFF_X61Y58
CLEXM
CLEXM_X35Y29
SLICEM SLICE_X56Y29
SLICEX SLICE_X57Y29
INT
INT_X36Y29
TIEOFF TIEOFF_X63Y58
CLEXL
CLEXL_X36Y29
SLICEL SLICE_X58Y29
SLICEX SLICE_X59Y29
IOI_INT
IOI_INT_X37Y29
TIEOFF TIEOFF_X65Y58
RIOI
RIOI_X37Y29
OLOGIC2 OLOGIC_X27Y28
IODELAY2 IODELAY_X27Y28
ILOGIC2 ILOGIC_X27Y28
OLOGIC2 OLOGIC_X27Y29
IODELAY2 IODELAY_X27Y29
ILOGIC2 ILOGIC_X27Y29
TIEOFF TIEOFF_X66Y58
MCB_CAP_INT
MCB_CAP_INT_X37Y29
IOI_RTERM
IOI_RTERM_X88Y33
RIOB
RIOB_X37Y29
IOBS M18
IOBM M17
EMP_LIOB
EMP_LIOB_X0Y32
IOI_LTERM
IOI_LTERM_X1Y32
INT
INT_X0Y28
TIEOFF TIEOFF_X0Y56
INT_INTERFACE
INT_INTERFACE_X0Y28
MCB_CAP_INT
MCB_CAP_INT_X0Y28
INT
INT_X1Y28
TIEOFF TIEOFF_X2Y56
CLEXL
CLEXL_X1Y28
SLICEL SLICE_X0Y28
SLICEX SLICE_X1Y28
INT
INT_X2Y28
TIEOFF TIEOFF_X4Y56
CLEXM
CLEXM_X2Y28
SLICEM SLICE_X2Y28
SLICEX SLICE_X3Y28
INT_BRAM
INT_BRAM_X3Y28
TIEOFF TIEOFF_X6Y56
INT_INTERFACE
INT_INTERFACE_X3Y28
BRAMSITE2
BRAMSITE2_X3Y28
RAMB16BWER RAMB16_X0Y14
RAMB8BWER RAMB8_X0Y14
RAMB8BWER RAMB8_X0Y15
INT
INT_X4Y28
TIEOFF TIEOFF_X7Y56
CLEXL
CLEXL_X4Y28
SLICEL SLICE_X4Y28
SLICEX SLICE_X5Y28
INT
INT_X5Y28
TIEOFF TIEOFF_X9Y56
CLEXM
CLEXM_X5Y28
SLICEM SLICE_X6Y28
SLICEX SLICE_X7Y28
INT
INT_X6Y28
TIEOFF TIEOFF_X11Y56
INT_INTERFACE
INT_INTERFACE_X6Y28
MACCSITE2
MACCSITE2_X6Y28
DSP48A1 DSP48_X0Y7
INT
INT_X7Y28
TIEOFF TIEOFF_X12Y56
CLEXL
CLEXL_X7Y28
SLICEL SLICE_X8Y28
SLICEX SLICE_X9Y28
INT
INT_X8Y28
TIEOFF TIEOFF_X14Y56
CLEXM
CLEXM_X8Y28
SLICEM SLICE_X10Y28
SLICEX SLICE_X11Y28
INT
INT_X9Y28
TIEOFF TIEOFF_X16Y56
CLEXL
CLEXL_X9Y28
SLICEL SLICE_X12Y28
SLICEX SLICE_X13Y28
INT
INT_X10Y28
TIEOFF TIEOFF_X17Y56
CLEXM
CLEXM_X10Y28
SLICEM SLICE_X14Y28
SLICEX SLICE_X15Y28
INT
INT_X11Y28
TIEOFF TIEOFF_X19Y56
CLEXL
CLEXL_X11Y28
SLICEL SLICE_X16Y28
SLICEX SLICE_X17Y28
INT_BRAM
INT_BRAM_X12Y28
TIEOFF TIEOFF_X21Y56
INT_INTERFACE
INT_INTERFACE_X12Y28
BRAMSITE2
BRAMSITE2_X12Y28
RAMB16BWER RAMB16_X1Y14
RAMB8BWER RAMB8_X1Y14
RAMB8BWER RAMB8_X1Y15
INT
INT_X13Y28
TIEOFF TIEOFF_X22Y56
CLEXM
CLEXM_X13Y28
SLICEM SLICE_X18Y28
SLICEX SLICE_X19Y28
INT
INT_X14Y28
TIEOFF TIEOFF_X24Y56
CLEXL
CLEXL_X14Y28
SLICEL SLICE_X20Y28
SLICEX SLICE_X21Y28
INT
INT_X15Y28
TIEOFF TIEOFF_X26Y56
CLEXM
CLEXM_X15Y28
SLICEM SLICE_X22Y28
SLICEX SLICE_X23Y28
INT
INT_X16Y28
TIEOFF TIEOFF_X28Y56
CLEXL
CLEXL_X16Y28
SLICEL SLICE_X24Y28
SLICEX SLICE_X25Y28
INT
INT_X17Y28
TIEOFF TIEOFF_X29Y56
CLEXM
CLEXM_X17Y28
SLICEM SLICE_X26Y28
SLICEX SLICE_X27Y28
INT
INT_X18Y28
TIEOFF TIEOFF_X31Y56
CLEXL
CLEXL_X18Y28
SLICEL SLICE_X28Y28
SLICEX SLICE_X29Y28
NULL
NULL_X44Y32
REG_V
REG_V_X18Y28
INT
INT_X19Y28
TIEOFF TIEOFF_X34Y56
CLEXM
CLEXM_X19Y28
SLICEM SLICE_X30Y28
SLICEX SLICE_X31Y28
INT
INT_X20Y28
TIEOFF TIEOFF_X36Y56
CLEXL
CLEXL_X20Y28
SLICEL SLICE_X32Y28
SLICEX SLICE_X33Y28
INT
INT_X21Y28
TIEOFF TIEOFF_X38Y56
CLEXM
CLEXM_X21Y28
SLICEM SLICE_X34Y28
SLICEX SLICE_X35Y28
INT
INT_X22Y28
TIEOFF TIEOFF_X39Y56
CLEXL
CLEXL_X22Y28
SLICEL SLICE_X36Y28
SLICEX SLICE_X37Y28
INT
INT_X23Y28
TIEOFF TIEOFF_X41Y56
CLEXM
CLEXM_X23Y28
SLICEM SLICE_X38Y28
SLICEX SLICE_X39Y28
INT
INT_X24Y28
TIEOFF TIEOFF_X43Y56
CLEXL
CLEXL_X24Y28
SLICEL SLICE_X40Y28
SLICEX SLICE_X41Y28
INT_BRAM
INT_BRAM_X25Y28
TIEOFF TIEOFF_X45Y56
INT_INTERFACE
INT_INTERFACE_X25Y28
BRAMSITE2
BRAMSITE2_X25Y28
RAMB16BWER RAMB16_X2Y14
RAMB8BWER RAMB8_X2Y14
RAMB8BWER RAMB8_X2Y15
INT
INT_X26Y28
TIEOFF TIEOFF_X46Y56
CLEXL
CLEXL_X26Y28
SLICEL SLICE_X42Y28
SLICEX SLICE_X43Y28
INT
INT_X27Y28
TIEOFF TIEOFF_X48Y56
CLEXM
CLEXM_X27Y28
SLICEM SLICE_X44Y28
SLICEX SLICE_X45Y28
INT
INT_X28Y28
TIEOFF TIEOFF_X50Y56
CLEXL
CLEXL_X28Y28
SLICEL SLICE_X46Y28
SLICEX SLICE_X47Y28
INT
INT_X29Y28
TIEOFF TIEOFF_X51Y56
CLEXM
CLEXM_X29Y28
SLICEM SLICE_X48Y28
SLICEX SLICE_X49Y28
INT
INT_X30Y28
TIEOFF TIEOFF_X53Y56
CLEXL
CLEXL_X30Y28
SLICEL SLICE_X50Y28
SLICEX SLICE_X51Y28
INT
INT_X31Y28
TIEOFF TIEOFF_X55Y56
INT_INTERFACE
INT_INTERFACE_X31Y28
MACCSITE2
MACCSITE2_X31Y28
DSP48A1 DSP48_X1Y7
INT
INT_X32Y28
TIEOFF TIEOFF_X56Y56
CLEXM
CLEXM_X32Y28
SLICEM SLICE_X52Y28
SLICEX SLICE_X53Y28
INT
INT_X33Y28
TIEOFF TIEOFF_X58Y56
CLEXL
CLEXL_X33Y28
SLICEL SLICE_X54Y28
SLICEX SLICE_X55Y28
INT_BRAM
INT_BRAM_X34Y28
TIEOFF TIEOFF_X60Y56
INT_INTERFACE
INT_INTERFACE_X34Y28
BRAMSITE2
BRAMSITE2_X34Y28
RAMB16BWER RAMB16_X3Y14
RAMB8BWER RAMB8_X3Y14
RAMB8BWER RAMB8_X3Y15
INT
INT_X35Y28
TIEOFF TIEOFF_X61Y56
CLEXM
CLEXM_X35Y28
SLICEM SLICE_X56Y28
SLICEX SLICE_X57Y28
INT
INT_X36Y28
TIEOFF TIEOFF_X63Y56
CLEXL
CLEXL_X36Y28
SLICEL SLICE_X58Y28
SLICEX SLICE_X59Y28
INT
INT_X37Y28
TIEOFF TIEOFF_X65Y56
INT_INTERFACE
INT_INTERFACE_X37Y28
MCB_CAP_INT
MCB_CAP_INT_X37Y28
IOI_RTERM
IOI_RTERM_X88Y32
EMP_RIOB
EMP_RIOB_X37Y28
LIOB
LIOB_X0Y27
IOBM PAD281
IOBS PAD282
IOI_LTERM
IOI_LTERM_X1Y31
LIOI_INT
LIOI_INT_X0Y27
TIEOFF TIEOFF_X0Y54
LIOI
LIOI_X0Y27
OLOGIC2 OLOGIC_X0Y26
IODELAY2 IODELAY_X0Y26
ILOGIC2 ILOGIC_X0Y26
OLOGIC2 OLOGIC_X0Y27
IODELAY2 IODELAY_X0Y27
ILOGIC2 ILOGIC_X0Y27
TIEOFF TIEOFF_X1Y54
MCB_CAP_INT
MCB_CAP_INT_X0Y27
INT
INT_X1Y27
TIEOFF TIEOFF_X2Y54
CLEXL
CLEXL_X1Y27
SLICEL SLICE_X0Y27
SLICEX SLICE_X1Y27
INT
INT_X2Y27
TIEOFF TIEOFF_X4Y54
CLEXM
CLEXM_X2Y27
SLICEM SLICE_X2Y27
SLICEX SLICE_X3Y27
INT_BRAM
INT_BRAM_X3Y27
TIEOFF TIEOFF_X6Y54
INT_INTERFACE
INT_INTERFACE_X3Y27
NULL
NULL_X11Y31
INT
INT_X4Y27
TIEOFF TIEOFF_X7Y54
CLEXL
CLEXL_X4Y27
SLICEL SLICE_X4Y27
SLICEX SLICE_X5Y27
INT
INT_X5Y27
TIEOFF TIEOFF_X9Y54
CLEXM
CLEXM_X5Y27
SLICEM SLICE_X6Y27
SLICEX SLICE_X7Y27
INT
INT_X6Y27
TIEOFF TIEOFF_X11Y54
INT_INTERFACE
INT_INTERFACE_X6Y27
NULL
NULL_X18Y31
INT
INT_X7Y27
TIEOFF TIEOFF_X12Y54
CLEXL
CLEXL_X7Y27
SLICEL SLICE_X8Y27
SLICEX SLICE_X9Y27
INT
INT_X8Y27
TIEOFF TIEOFF_X14Y54
CLEXM
CLEXM_X8Y27
SLICEM SLICE_X10Y27
SLICEX SLICE_X11Y27
INT
INT_X9Y27
TIEOFF TIEOFF_X16Y54
CLEXL
CLEXL_X9Y27
SLICEL SLICE_X12Y27
SLICEX SLICE_X13Y27
INT
INT_X10Y27
TIEOFF TIEOFF_X17Y54
CLEXM
CLEXM_X10Y27
SLICEM SLICE_X14Y27
SLICEX SLICE_X15Y27
INT
INT_X11Y27
TIEOFF TIEOFF_X19Y54
CLEXL
CLEXL_X11Y27
SLICEL SLICE_X16Y27
SLICEX SLICE_X17Y27
INT_BRAM
INT_BRAM_X12Y27
TIEOFF TIEOFF_X21Y54
INT_INTERFACE
INT_INTERFACE_X12Y27
NULL
NULL_X31Y31
INT
INT_X13Y27
TIEOFF TIEOFF_X22Y54
CLEXM
CLEXM_X13Y27
SLICEM SLICE_X18Y27
SLICEX SLICE_X19Y27
INT
INT_X14Y27
TIEOFF TIEOFF_X24Y54
CLEXL
CLEXL_X14Y27
SLICEL SLICE_X20Y27
SLICEX SLICE_X21Y27
INT
INT_X15Y27
TIEOFF TIEOFF_X26Y54
CLEXM
CLEXM_X15Y27
SLICEM SLICE_X22Y27
SLICEX SLICE_X23Y27
INT
INT_X16Y27
TIEOFF TIEOFF_X28Y54
CLEXL
CLEXL_X16Y27
SLICEL SLICE_X24Y27
SLICEX SLICE_X25Y27
INT
INT_X17Y27
TIEOFF TIEOFF_X29Y54
CLEXM
CLEXM_X17Y27
SLICEM SLICE_X26Y27
SLICEX SLICE_X27Y27
INT
INT_X18Y27
TIEOFF TIEOFF_X31Y54
CLEXL
CLEXL_X18Y27
SLICEL SLICE_X28Y27
SLICEX SLICE_X29Y27
NULL
NULL_X44Y31
REG_V
REG_V_X18Y27
INT
INT_X19Y27
TIEOFF TIEOFF_X34Y54
CLEXM
CLEXM_X19Y27
SLICEM SLICE_X30Y27
SLICEX SLICE_X31Y27
INT
INT_X20Y27
TIEOFF TIEOFF_X36Y54
CLEXL
CLEXL_X20Y27
SLICEL SLICE_X32Y27
SLICEX SLICE_X33Y27
INT
INT_X21Y27
TIEOFF TIEOFF_X38Y54
CLEXM
CLEXM_X21Y27
SLICEM SLICE_X34Y27
SLICEX SLICE_X35Y27
INT
INT_X22Y27
TIEOFF TIEOFF_X39Y54
CLEXL
CLEXL_X22Y27
SLICEL SLICE_X36Y27
SLICEX SLICE_X37Y27
INT
INT_X23Y27
TIEOFF TIEOFF_X41Y54
CLEXM
CLEXM_X23Y27
SLICEM SLICE_X38Y27
SLICEX SLICE_X39Y27
INT
INT_X24Y27
TIEOFF TIEOFF_X43Y54
CLEXL
CLEXL_X24Y27
SLICEL SLICE_X40Y27
SLICEX SLICE_X41Y27
INT_BRAM
INT_BRAM_X25Y27
TIEOFF TIEOFF_X45Y54
INT_INTERFACE
INT_INTERFACE_X25Y27
NULL
NULL_X60Y31
INT
INT_X26Y27
TIEOFF TIEOFF_X46Y54
CLEXL
CLEXL_X26Y27
SLICEL SLICE_X42Y27
SLICEX SLICE_X43Y27
INT
INT_X27Y27
TIEOFF TIEOFF_X48Y54
CLEXM
CLEXM_X27Y27
SLICEM SLICE_X44Y27
SLICEX SLICE_X45Y27
INT
INT_X28Y27
TIEOFF TIEOFF_X50Y54
CLEXL
CLEXL_X28Y27
SLICEL SLICE_X46Y27
SLICEX SLICE_X47Y27
INT
INT_X29Y27
TIEOFF TIEOFF_X51Y54
CLEXM
CLEXM_X29Y27
SLICEM SLICE_X48Y27
SLICEX SLICE_X49Y27
INT
INT_X30Y27
TIEOFF TIEOFF_X53Y54
CLEXL
CLEXL_X30Y27
SLICEL SLICE_X50Y27
SLICEX SLICE_X51Y27
INT
INT_X31Y27
TIEOFF TIEOFF_X55Y54
INT_INTERFACE
INT_INTERFACE_X31Y27
NULL
NULL_X73Y31
INT
INT_X32Y27
TIEOFF TIEOFF_X56Y54
CLEXM
CLEXM_X32Y27
SLICEM SLICE_X52Y27
SLICEX SLICE_X53Y27
INT
INT_X33Y27
TIEOFF TIEOFF_X58Y54
CLEXL
CLEXL_X33Y27
SLICEL SLICE_X54Y27
SLICEX SLICE_X55Y27
INT_BRAM
INT_BRAM_X34Y27
TIEOFF TIEOFF_X60Y54
INT_INTERFACE
INT_INTERFACE_X34Y27
NULL
NULL_X80Y31
INT
INT_X35Y27
TIEOFF TIEOFF_X61Y54
CLEXM
CLEXM_X35Y27
SLICEM SLICE_X56Y27
SLICEX SLICE_X57Y27
INT
INT_X36Y27
TIEOFF TIEOFF_X63Y54
CLEXL
CLEXL_X36Y27
SLICEL SLICE_X58Y27
SLICEX SLICE_X59Y27
IOI_INT
IOI_INT_X37Y27
TIEOFF TIEOFF_X65Y54
RIOI
RIOI_X37Y27
OLOGIC2 OLOGIC_X27Y26
IODELAY2 IODELAY_X27Y26
ILOGIC2 ILOGIC_X27Y26
OLOGIC2 OLOGIC_X27Y27
IODELAY2 IODELAY_X27Y27
ILOGIC2 ILOGIC_X27Y27
TIEOFF TIEOFF_X66Y54
MCB_CAP_INT
MCB_CAP_INT_X37Y27
IOI_RTERM
IOI_RTERM_X88Y31
RIOB
RIOB_X37Y27
IOBS PAD136
IOBM PAD135
EMP_LIOB
EMP_LIOB_X0Y30
IOI_LTERM
IOI_LTERM_X1Y30
INT
INT_X0Y26
TIEOFF TIEOFF_X0Y52
INT_INTERFACE
INT_INTERFACE_X0Y26
MCB_CAP_INT
MCB_CAP_INT_X0Y26
INT
INT_X1Y26
TIEOFF TIEOFF_X2Y52
CLEXL
CLEXL_X1Y26
SLICEL SLICE_X0Y26
SLICEX SLICE_X1Y26
INT
INT_X2Y26
TIEOFF TIEOFF_X4Y52
CLEXM
CLEXM_X2Y26
SLICEM SLICE_X2Y26
SLICEX SLICE_X3Y26
INT_BRAM
INT_BRAM_X3Y26
TIEOFF TIEOFF_X6Y52
INT_INTERFACE
INT_INTERFACE_X3Y26
NULL
NULL_X11Y30
INT
INT_X4Y26
TIEOFF TIEOFF_X7Y52
CLEXL
CLEXL_X4Y26
SLICEL SLICE_X4Y26
SLICEX SLICE_X5Y26
INT
INT_X5Y26
TIEOFF TIEOFF_X9Y52
CLEXM
CLEXM_X5Y26
SLICEM SLICE_X6Y26
SLICEX SLICE_X7Y26
INT
INT_X6Y26
TIEOFF TIEOFF_X11Y52
INT_INTERFACE
INT_INTERFACE_X6Y26
NULL
NULL_X18Y30
INT
INT_X7Y26
TIEOFF TIEOFF_X12Y52
CLEXL
CLEXL_X7Y26
SLICEL SLICE_X8Y26
SLICEX SLICE_X9Y26
INT
INT_X8Y26
TIEOFF TIEOFF_X14Y52
CLEXM
CLEXM_X8Y26
SLICEM SLICE_X10Y26
SLICEX SLICE_X11Y26
INT
INT_X9Y26
TIEOFF TIEOFF_X16Y52
CLEXL
CLEXL_X9Y26
SLICEL SLICE_X12Y26
SLICEX SLICE_X13Y26
INT
INT_X10Y26
TIEOFF TIEOFF_X17Y52
CLEXM
CLEXM_X10Y26
SLICEM SLICE_X14Y26
SLICEX SLICE_X15Y26
INT
INT_X11Y26
TIEOFF TIEOFF_X19Y52
CLEXL
CLEXL_X11Y26
SLICEL SLICE_X16Y26
SLICEX SLICE_X17Y26
INT_BRAM
INT_BRAM_X12Y26
TIEOFF TIEOFF_X21Y52
INT_INTERFACE
INT_INTERFACE_X12Y26
NULL
NULL_X31Y30
INT
INT_X13Y26
TIEOFF TIEOFF_X22Y52
CLEXM
CLEXM_X13Y26
SLICEM SLICE_X18Y26
SLICEX SLICE_X19Y26
INT
INT_X14Y26
TIEOFF TIEOFF_X24Y52
CLEXL
CLEXL_X14Y26
SLICEL SLICE_X20Y26
SLICEX SLICE_X21Y26
INT
INT_X15Y26
TIEOFF TIEOFF_X26Y52
CLEXM
CLEXM_X15Y26
SLICEM SLICE_X22Y26
SLICEX SLICE_X23Y26
INT
INT_X16Y26
TIEOFF TIEOFF_X28Y52
CLEXL
CLEXL_X16Y26
SLICEL SLICE_X24Y26
SLICEX SLICE_X25Y26
INT
INT_X17Y26
TIEOFF TIEOFF_X29Y52
CLEXM
CLEXM_X17Y26
SLICEM SLICE_X26Y26
SLICEX SLICE_X27Y26
INT
INT_X18Y26
TIEOFF TIEOFF_X31Y52
CLEXL
CLEXL_X18Y26
SLICEL SLICE_X28Y26
SLICEX SLICE_X29Y26
NULL
NULL_X44Y30
REG_V
REG_V_X18Y26
INT
INT_X19Y26
TIEOFF TIEOFF_X34Y52
CLEXM
CLEXM_X19Y26
SLICEM SLICE_X30Y26
SLICEX SLICE_X31Y26
INT
INT_X20Y26
TIEOFF TIEOFF_X36Y52
CLEXL
CLEXL_X20Y26
SLICEL SLICE_X32Y26
SLICEX SLICE_X33Y26
INT
INT_X21Y26
TIEOFF TIEOFF_X38Y52
CLEXM
CLEXM_X21Y26
SLICEM SLICE_X34Y26
SLICEX SLICE_X35Y26
INT
INT_X22Y26
TIEOFF TIEOFF_X39Y52
CLEXL
CLEXL_X22Y26
SLICEL SLICE_X36Y26
SLICEX SLICE_X37Y26
INT
INT_X23Y26
TIEOFF TIEOFF_X41Y52
CLEXM
CLEXM_X23Y26
SLICEM SLICE_X38Y26
SLICEX SLICE_X39Y26
INT
INT_X24Y26
TIEOFF TIEOFF_X43Y52
CLEXL
CLEXL_X24Y26
SLICEL SLICE_X40Y26
SLICEX SLICE_X41Y26
INT_BRAM
INT_BRAM_X25Y26
TIEOFF TIEOFF_X45Y52
INT_INTERFACE
INT_INTERFACE_X25Y26
NULL
NULL_X60Y30
INT
INT_X26Y26
TIEOFF TIEOFF_X46Y52
CLEXL
CLEXL_X26Y26
SLICEL SLICE_X42Y26
SLICEX SLICE_X43Y26
INT
INT_X27Y26
TIEOFF TIEOFF_X48Y52
CLEXM
CLEXM_X27Y26
SLICEM SLICE_X44Y26
SLICEX SLICE_X45Y26
INT
INT_X28Y26
TIEOFF TIEOFF_X50Y52
CLEXL
CLEXL_X28Y26
SLICEL SLICE_X46Y26
SLICEX SLICE_X47Y26
INT
INT_X29Y26
TIEOFF TIEOFF_X51Y52
CLEXM
CLEXM_X29Y26
SLICEM SLICE_X48Y26
SLICEX SLICE_X49Y26
INT
INT_X30Y26
TIEOFF TIEOFF_X53Y52
CLEXL
CLEXL_X30Y26
SLICEL SLICE_X50Y26
SLICEX SLICE_X51Y26
INT
INT_X31Y26
TIEOFF TIEOFF_X55Y52
INT_INTERFACE
INT_INTERFACE_X31Y26
NULL
NULL_X73Y30
INT
INT_X32Y26
TIEOFF TIEOFF_X56Y52
CLEXM
CLEXM_X32Y26
SLICEM SLICE_X52Y26
SLICEX SLICE_X53Y26
INT
INT_X33Y26
TIEOFF TIEOFF_X58Y52
CLEXL
CLEXL_X33Y26
SLICEL SLICE_X54Y26
SLICEX SLICE_X55Y26
INT_BRAM
INT_BRAM_X34Y26
TIEOFF TIEOFF_X60Y52
INT_INTERFACE
INT_INTERFACE_X34Y26
NULL
NULL_X80Y30
INT
INT_X35Y26
TIEOFF TIEOFF_X61Y52
CLEXM
CLEXM_X35Y26
SLICEM SLICE_X56Y26
SLICEX SLICE_X57Y26
INT
INT_X36Y26
TIEOFF TIEOFF_X63Y52
CLEXL
CLEXL_X36Y26
SLICEL SLICE_X58Y26
SLICEX SLICE_X59Y26
INT
INT_X37Y26
TIEOFF TIEOFF_X65Y52
INT_INTERFACE
INT_INTERFACE_X37Y26
MCB_CAP_INT
MCB_CAP_INT_X37Y26
IOI_RTERM
IOI_RTERM_X88Y30
EMP_RIOB
EMP_RIOB_X37Y26
EMP_LIOB
EMP_LIOB_X0Y29
IOI_LTERM
IOI_LTERM_X1Y29
INT
INT_X0Y25
TIEOFF TIEOFF_X0Y50
INT_INTERFACE
INT_INTERFACE_X0Y25
MCB_CAP_INT
MCB_CAP_INT_X0Y25
INT
INT_X1Y25
TIEOFF TIEOFF_X2Y50
CLEXL
CLEXL_X1Y25
SLICEL SLICE_X0Y25
SLICEX SLICE_X1Y25
INT
INT_X2Y25
TIEOFF TIEOFF_X4Y50
CLEXM
CLEXM_X2Y25
SLICEM SLICE_X2Y25
SLICEX SLICE_X3Y25
INT_BRAM
INT_BRAM_X3Y25
TIEOFF TIEOFF_X6Y50
INT_INTERFACE
INT_INTERFACE_X3Y25
NULL
NULL_X11Y29
INT
INT_X4Y25
TIEOFF TIEOFF_X7Y50
CLEXL
CLEXL_X4Y25
SLICEL SLICE_X4Y25
SLICEX SLICE_X5Y25
INT
INT_X5Y25
TIEOFF TIEOFF_X9Y50
CLEXM
CLEXM_X5Y25
SLICEM SLICE_X6Y25
SLICEX SLICE_X7Y25
INT
INT_X6Y25
TIEOFF TIEOFF_X11Y50
INT_INTERFACE
INT_INTERFACE_X6Y25
NULL
NULL_X18Y29
INT
INT_X7Y25
TIEOFF TIEOFF_X12Y50
CLEXL
CLEXL_X7Y25
SLICEL SLICE_X8Y25
SLICEX SLICE_X9Y25
INT
INT_X8Y25
TIEOFF TIEOFF_X14Y50
CLEXM
CLEXM_X8Y25
SLICEM SLICE_X10Y25
SLICEX SLICE_X11Y25
INT
INT_X9Y25
TIEOFF TIEOFF_X16Y50
CLEXL
CLEXL_X9Y25
SLICEL SLICE_X12Y25
SLICEX SLICE_X13Y25
INT
INT_X10Y25
TIEOFF TIEOFF_X17Y50
CLEXM
CLEXM_X10Y25
SLICEM SLICE_X14Y25
SLICEX SLICE_X15Y25
INT
INT_X11Y25
TIEOFF TIEOFF_X19Y50
CLEXL
CLEXL_X11Y25
SLICEL SLICE_X16Y25
SLICEX SLICE_X17Y25
INT_BRAM
INT_BRAM_X12Y25
TIEOFF TIEOFF_X21Y50
INT_INTERFACE
INT_INTERFACE_X12Y25
NULL
NULL_X31Y29
INT
INT_X13Y25
TIEOFF TIEOFF_X22Y50
CLEXM
CLEXM_X13Y25
SLICEM SLICE_X18Y25
SLICEX SLICE_X19Y25
INT
INT_X14Y25
TIEOFF TIEOFF_X24Y50
CLEXL
CLEXL_X14Y25
SLICEL SLICE_X20Y25
SLICEX SLICE_X21Y25
INT
INT_X15Y25
TIEOFF TIEOFF_X26Y50
CLEXM
CLEXM_X15Y25
SLICEM SLICE_X22Y25
SLICEX SLICE_X23Y25
INT
INT_X16Y25
TIEOFF TIEOFF_X28Y50
CLEXL
CLEXL_X16Y25
SLICEL SLICE_X24Y25
SLICEX SLICE_X25Y25
INT
INT_X17Y25
TIEOFF TIEOFF_X29Y50
CLEXM
CLEXM_X17Y25
SLICEM SLICE_X26Y25
SLICEX SLICE_X27Y25
INT
INT_X18Y25
TIEOFF TIEOFF_X31Y50
CLEXL
CLEXL_X18Y25
SLICEL SLICE_X28Y25
SLICEX SLICE_X29Y25
NULL
NULL_X44Y29
REG_V
REG_V_X18Y25
INT
INT_X19Y25
TIEOFF TIEOFF_X34Y50
CLEXM
CLEXM_X19Y25
SLICEM SLICE_X30Y25
SLICEX SLICE_X31Y25
INT
INT_X20Y25
TIEOFF TIEOFF_X36Y50
CLEXL
CLEXL_X20Y25
SLICEL SLICE_X32Y25
SLICEX SLICE_X33Y25
INT
INT_X21Y25
TIEOFF TIEOFF_X38Y50
CLEXM
CLEXM_X21Y25
SLICEM SLICE_X34Y25
SLICEX SLICE_X35Y25
INT
INT_X22Y25
TIEOFF TIEOFF_X39Y50
CLEXL
CLEXL_X22Y25
SLICEL SLICE_X36Y25
SLICEX SLICE_X37Y25
INT
INT_X23Y25
TIEOFF TIEOFF_X41Y50
CLEXM
CLEXM_X23Y25
SLICEM SLICE_X38Y25
SLICEX SLICE_X39Y25
INT
INT_X24Y25
TIEOFF TIEOFF_X43Y50
CLEXL
CLEXL_X24Y25
SLICEL SLICE_X40Y25
SLICEX SLICE_X41Y25
INT_BRAM
INT_BRAM_X25Y25
TIEOFF TIEOFF_X45Y50
INT_INTERFACE
INT_INTERFACE_X25Y25
NULL
NULL_X60Y29
INT
INT_X26Y25
TIEOFF TIEOFF_X46Y50
CLEXL
CLEXL_X26Y25
SLICEL SLICE_X42Y25
SLICEX SLICE_X43Y25
INT
INT_X27Y25
TIEOFF TIEOFF_X48Y50
CLEXM
CLEXM_X27Y25
SLICEM SLICE_X44Y25
SLICEX SLICE_X45Y25
INT
INT_X28Y25
TIEOFF TIEOFF_X50Y50
CLEXL
CLEXL_X28Y25
SLICEL SLICE_X46Y25
SLICEX SLICE_X47Y25
INT
INT_X29Y25
TIEOFF TIEOFF_X51Y50
CLEXM
CLEXM_X29Y25
SLICEM SLICE_X48Y25
SLICEX SLICE_X49Y25
INT
INT_X30Y25
TIEOFF TIEOFF_X53Y50
CLEXL
CLEXL_X30Y25
SLICEL SLICE_X50Y25
SLICEX SLICE_X51Y25
INT
INT_X31Y25
TIEOFF TIEOFF_X55Y50
INT_INTERFACE
INT_INTERFACE_X31Y25
NULL
NULL_X73Y29
INT
INT_X32Y25
TIEOFF TIEOFF_X56Y50
CLEXM
CLEXM_X32Y25
SLICEM SLICE_X52Y25
SLICEX SLICE_X53Y25
INT
INT_X33Y25
TIEOFF TIEOFF_X58Y50
CLEXL
CLEXL_X33Y25
SLICEL SLICE_X54Y25
SLICEX SLICE_X55Y25
INT_BRAM
INT_BRAM_X34Y25
TIEOFF TIEOFF_X60Y50
INT_INTERFACE
INT_INTERFACE_X34Y25
NULL
NULL_X80Y29
INT
INT_X35Y25
TIEOFF TIEOFF_X61Y50
CLEXM
CLEXM_X35Y25
SLICEM SLICE_X56Y25
SLICEX SLICE_X57Y25
INT
INT_X36Y25
TIEOFF TIEOFF_X63Y50
CLEXL
CLEXL_X36Y25
SLICEL SLICE_X58Y25
SLICEX SLICE_X59Y25
INT
INT_X37Y25
TIEOFF TIEOFF_X65Y50
INT_INTERFACE
INT_INTERFACE_X37Y25
MCB_CAP_INT
MCB_CAP_INT_X37Y25
IOI_RTERM
IOI_RTERM_X88Y29
EMP_RIOB
EMP_RIOB_X37Y25
LIOB
LIOB_X0Y24
IOBM PAD279
IOBS PAD280
IOI_LTERM
IOI_LTERM_X1Y28
LIOI_INT
LIOI_INT_X0Y24
TIEOFF TIEOFF_X0Y48
LIOI
LIOI_X0Y24
OLOGIC2 OLOGIC_X0Y24
IODELAY2 IODELAY_X0Y24
ILOGIC2 ILOGIC_X0Y24
OLOGIC2 OLOGIC_X0Y25
IODELAY2 IODELAY_X0Y25
ILOGIC2 ILOGIC_X0Y25
TIEOFF TIEOFF_X1Y48
MCB_CAP_INT
MCB_CAP_INT_X0Y24
INT
INT_X1Y24
TIEOFF TIEOFF_X2Y48
CLEXL
CLEXL_X1Y24
SLICEL SLICE_X0Y24
SLICEX SLICE_X1Y24
INT
INT_X2Y24
TIEOFF TIEOFF_X4Y48
CLEXM
CLEXM_X2Y24
SLICEM SLICE_X2Y24
SLICEX SLICE_X3Y24
INT_BRAM
INT_BRAM_X3Y24
TIEOFF TIEOFF_X6Y48
INT_INTERFACE
INT_INTERFACE_X3Y24
BRAMSITE2
BRAMSITE2_X3Y24
RAMB16BWER RAMB16_X0Y12
RAMB8BWER RAMB8_X0Y12
RAMB8BWER RAMB8_X0Y13
INT
INT_X4Y24
TIEOFF TIEOFF_X7Y48
CLEXL
CLEXL_X4Y24
SLICEL SLICE_X4Y24
SLICEX SLICE_X5Y24
INT
INT_X5Y24
TIEOFF TIEOFF_X9Y48
CLEXM
CLEXM_X5Y24
SLICEM SLICE_X6Y24
SLICEX SLICE_X7Y24
INT
INT_X6Y24
TIEOFF TIEOFF_X11Y48
INT_INTERFACE
INT_INTERFACE_X6Y24
MACCSITE2
MACCSITE2_X6Y24
DSP48A1 DSP48_X0Y6
INT
INT_X7Y24
TIEOFF TIEOFF_X12Y48
CLEXL
CLEXL_X7Y24
SLICEL SLICE_X8Y24
SLICEX SLICE_X9Y24
INT
INT_X8Y24
TIEOFF TIEOFF_X14Y48
CLEXM
CLEXM_X8Y24
SLICEM SLICE_X10Y24
SLICEX SLICE_X11Y24
INT
INT_X9Y24
TIEOFF TIEOFF_X16Y48
CLEXL
CLEXL_X9Y24
SLICEL SLICE_X12Y24
SLICEX SLICE_X13Y24
INT
INT_X10Y24
TIEOFF TIEOFF_X17Y48
CLEXM
CLEXM_X10Y24
SLICEM SLICE_X14Y24
SLICEX SLICE_X15Y24
INT
INT_X11Y24
TIEOFF TIEOFF_X19Y48
CLEXL
CLEXL_X11Y24
SLICEL SLICE_X16Y24
SLICEX SLICE_X17Y24
INT_BRAM
INT_BRAM_X12Y24
TIEOFF TIEOFF_X21Y48
INT_INTERFACE
INT_INTERFACE_X12Y24
BRAMSITE2
BRAMSITE2_X12Y24
RAMB16BWER RAMB16_X1Y12
RAMB8BWER RAMB8_X1Y12
RAMB8BWER RAMB8_X1Y13
INT
INT_X13Y24
TIEOFF TIEOFF_X22Y48
CLEXM
CLEXM_X13Y24
SLICEM SLICE_X18Y24
SLICEX SLICE_X19Y24
INT
INT_X14Y24
TIEOFF TIEOFF_X24Y48
CLEXL
CLEXL_X14Y24
SLICEL SLICE_X20Y24
SLICEX SLICE_X21Y24
INT
INT_X15Y24
TIEOFF TIEOFF_X26Y48
CLEXM
CLEXM_X15Y24
SLICEM SLICE_X22Y24
SLICEX SLICE_X23Y24
INT
INT_X16Y24
TIEOFF TIEOFF_X28Y48
CLEXL
CLEXL_X16Y24
SLICEL SLICE_X24Y24
SLICEX SLICE_X25Y24
INT
INT_X17Y24
TIEOFF TIEOFF_X29Y48
CLEXM
CLEXM_X17Y24
SLICEM SLICE_X26Y24
SLICEX SLICE_X27Y24
IOI_INT
IOI_INT_X18Y24
TIEOFF TIEOFF_X31Y48
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y24
CMT_PLL1_BOT
CMT_PLL1_BOT_X18Y24
TIEOFF TIEOFF_X33Y49
PLL_ADV PLL_ADV_X0Y0
REG_V
REG_V_X18Y24
INT
INT_X19Y24
TIEOFF TIEOFF_X34Y48
CLEXM
CLEXM_X19Y24
SLICEM SLICE_X30Y24
SLICEX SLICE_X31Y24
INT
INT_X20Y24
TIEOFF TIEOFF_X36Y48
CLEXL
CLEXL_X20Y24
SLICEL SLICE_X32Y24
SLICEX SLICE_X33Y24
INT
INT_X21Y24
TIEOFF TIEOFF_X38Y48
CLEXM
CLEXM_X21Y24
SLICEM SLICE_X34Y24
SLICEX SLICE_X35Y24
INT
INT_X22Y24
TIEOFF TIEOFF_X39Y48
CLEXL
CLEXL_X22Y24
SLICEL SLICE_X36Y24
SLICEX SLICE_X37Y24
INT
INT_X23Y24
TIEOFF TIEOFF_X41Y48
CLEXM
CLEXM_X23Y24
SLICEM SLICE_X38Y24
SLICEX SLICE_X39Y24
INT
INT_X24Y24
TIEOFF TIEOFF_X43Y48
CLEXL
CLEXL_X24Y24
SLICEL SLICE_X40Y24
SLICEX SLICE_X41Y24
INT_BRAM
INT_BRAM_X25Y24
TIEOFF TIEOFF_X45Y48
INT_INTERFACE
INT_INTERFACE_X25Y24
BRAMSITE2
BRAMSITE2_X25Y24
RAMB16BWER RAMB16_X2Y12
RAMB8BWER RAMB8_X2Y12
RAMB8BWER RAMB8_X2Y13
INT
INT_X26Y24
TIEOFF TIEOFF_X46Y48
CLEXL
CLEXL_X26Y24
SLICEL SLICE_X42Y24
SLICEX SLICE_X43Y24
INT
INT_X27Y24
TIEOFF TIEOFF_X48Y48
CLEXM
CLEXM_X27Y24
SLICEM SLICE_X44Y24
SLICEX SLICE_X45Y24
INT
INT_X28Y24
TIEOFF TIEOFF_X50Y48
CLEXL
CLEXL_X28Y24
SLICEL SLICE_X46Y24
SLICEX SLICE_X47Y24
INT
INT_X29Y24
TIEOFF TIEOFF_X51Y48
CLEXM
CLEXM_X29Y24
SLICEM SLICE_X48Y24
SLICEX SLICE_X49Y24
INT
INT_X30Y24
TIEOFF TIEOFF_X53Y48
CLEXL
CLEXL_X30Y24
SLICEL SLICE_X50Y24
SLICEX SLICE_X51Y24
INT
INT_X31Y24
TIEOFF TIEOFF_X55Y48
INT_INTERFACE
INT_INTERFACE_X31Y24
MACCSITE2
MACCSITE2_X31Y24
DSP48A1 DSP48_X1Y6
INT
INT_X32Y24
TIEOFF TIEOFF_X56Y48
CLEXM
CLEXM_X32Y24
SLICEM SLICE_X52Y24
SLICEX SLICE_X53Y24
INT
INT_X33Y24
TIEOFF TIEOFF_X58Y48
CLEXL
CLEXL_X33Y24
SLICEL SLICE_X54Y24
SLICEX SLICE_X55Y24
INT_BRAM
INT_BRAM_X34Y24
TIEOFF TIEOFF_X60Y48
INT_INTERFACE
INT_INTERFACE_X34Y24
BRAMSITE2
BRAMSITE2_X34Y24
RAMB16BWER RAMB16_X3Y12
RAMB8BWER RAMB8_X3Y12
RAMB8BWER RAMB8_X3Y13
INT
INT_X35Y24
TIEOFF TIEOFF_X61Y48
CLEXM
CLEXM_X35Y24
SLICEM SLICE_X56Y24
SLICEX SLICE_X57Y24
INT
INT_X36Y24
TIEOFF TIEOFF_X63Y48
CLEXL
CLEXL_X36Y24
SLICEL SLICE_X58Y24
SLICEX SLICE_X59Y24
IOI_INT
IOI_INT_X37Y24
TIEOFF TIEOFF_X65Y48
RIOI
RIOI_X37Y24
OLOGIC2 OLOGIC_X27Y24
IODELAY2 IODELAY_X27Y24
ILOGIC2 ILOGIC_X27Y24
OLOGIC2 OLOGIC_X27Y25
IODELAY2 IODELAY_X27Y25
ILOGIC2 ILOGIC_X27Y25
TIEOFF TIEOFF_X66Y48
MCB_CAP_INT
MCB_CAP_INT_X37Y24
IOI_RTERM
IOI_RTERM_X88Y28
RIOB
RIOB_X37Y24
IOBS PAD138
IOBM PAD137
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y27
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y27
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y23
HCLK_IOIL_BOT_SPLIT
HCLK_IOIL_BOT_SPLIT_X0Y23
MCB_HCLK
MCB_HCLK_X0Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y23
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y23
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y23
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y23
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y23
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y23
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y23
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y23
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y23
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y23
NULL
NULL_X44Y27
REG_V_HCLK
REG_V_HCLK_X44Y27
BUFH BUFH_X0Y63
BUFH BUFH_X0Y62
BUFH BUFH_X0Y61
BUFH BUFH_X0Y60
BUFH BUFH_X0Y59
BUFH BUFH_X0Y58
BUFH BUFH_X0Y57
BUFH BUFH_X0Y56
BUFH BUFH_X0Y55
BUFH BUFH_X0Y54
BUFH BUFH_X0Y53
BUFH BUFH_X0Y52
BUFH BUFH_X0Y51
BUFH BUFH_X0Y50
BUFH BUFH_X0Y49
BUFH BUFH_X0Y48
BUFH BUFH_X3Y47
BUFH BUFH_X3Y46
BUFH BUFH_X3Y45
BUFH BUFH_X3Y44
BUFH BUFH_X3Y43
BUFH BUFH_X3Y42
BUFH BUFH_X3Y41
BUFH BUFH_X3Y40
BUFH BUFH_X3Y39
BUFH BUFH_X3Y38
BUFH BUFH_X3Y37
BUFH BUFH_X3Y36
BUFH BUFH_X3Y35
BUFH BUFH_X3Y34
BUFH BUFH_X3Y33
BUFH BUFH_X3Y32
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y23
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y23
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y23
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y23
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y23
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y23
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y23
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y23
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y23
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y23
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y23
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y23
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y23
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y23
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y23
HCLK_IOIR_BOT_SPLIT
HCLK_IOIR_BOT_SPLIT_X37Y23
MCB_HCLK
MCB_HCLK_X37Y23
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y27
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y27
LIOB
LIOB_X0Y23
IOBM PAD277
IOBS PAD278
IOI_LTERM
IOI_LTERM_X1Y26
LIOI_INT
LIOI_INT_X0Y23
TIEOFF TIEOFF_X0Y46
LIOI
LIOI_X0Y23
OLOGIC2 OLOGIC_X0Y22
IODELAY2 IODELAY_X0Y22
ILOGIC2 ILOGIC_X0Y22
OLOGIC2 OLOGIC_X0Y23
IODELAY2 IODELAY_X0Y23
ILOGIC2 ILOGIC_X0Y23
TIEOFF TIEOFF_X1Y46
MCB_CAP_INT
MCB_CAP_INT_X0Y23
INT
INT_X1Y23
TIEOFF TIEOFF_X2Y46
CLEXL
CLEXL_X1Y23
SLICEL SLICE_X0Y23
SLICEX SLICE_X1Y23
INT
INT_X2Y23
TIEOFF TIEOFF_X4Y46
CLEXM
CLEXM_X2Y23
SLICEM SLICE_X2Y23
SLICEX SLICE_X3Y23
INT_BRAM
INT_BRAM_X3Y23
TIEOFF TIEOFF_X6Y46
INT_INTERFACE
INT_INTERFACE_X3Y23
NULL
NULL_X11Y26
INT
INT_X4Y23
TIEOFF TIEOFF_X7Y46
CLEXL
CLEXL_X4Y23
SLICEL SLICE_X4Y23
SLICEX SLICE_X5Y23
INT
INT_X5Y23
TIEOFF TIEOFF_X9Y46
CLEXM
CLEXM_X5Y23
SLICEM SLICE_X6Y23
SLICEX SLICE_X7Y23
INT
INT_X6Y23
TIEOFF TIEOFF_X11Y46
INT_INTERFACE
INT_INTERFACE_X6Y23
NULL
NULL_X18Y26
INT
INT_X7Y23
TIEOFF TIEOFF_X12Y46
CLEXL
CLEXL_X7Y23
SLICEL SLICE_X8Y23
SLICEX SLICE_X9Y23
INT
INT_X8Y23
TIEOFF TIEOFF_X14Y46
CLEXM
CLEXM_X8Y23
SLICEM SLICE_X10Y23
SLICEX SLICE_X11Y23
INT
INT_X9Y23
TIEOFF TIEOFF_X16Y46
CLEXL
CLEXL_X9Y23
SLICEL SLICE_X12Y23
SLICEX SLICE_X13Y23
INT
INT_X10Y23
TIEOFF TIEOFF_X17Y46
CLEXM
CLEXM_X10Y23
SLICEM SLICE_X14Y23
SLICEX SLICE_X15Y23
INT
INT_X11Y23
TIEOFF TIEOFF_X19Y46
CLEXL
CLEXL_X11Y23
SLICEL SLICE_X16Y23
SLICEX SLICE_X17Y23
INT_BRAM
INT_BRAM_X12Y23
TIEOFF TIEOFF_X21Y46
INT_INTERFACE
INT_INTERFACE_X12Y23
NULL
NULL_X31Y26
INT
INT_X13Y23
TIEOFF TIEOFF_X22Y46
CLEXM
CLEXM_X13Y23
SLICEM SLICE_X18Y23
SLICEX SLICE_X19Y23
INT
INT_X14Y23
TIEOFF TIEOFF_X24Y46
CLEXL
CLEXL_X14Y23
SLICEL SLICE_X20Y23
SLICEX SLICE_X21Y23
INT
INT_X15Y23
TIEOFF TIEOFF_X26Y46
CLEXM
CLEXM_X15Y23
SLICEM SLICE_X22Y23
SLICEX SLICE_X23Y23
INT
INT_X16Y23
TIEOFF TIEOFF_X28Y46
CLEXL
CLEXL_X16Y23
SLICEL SLICE_X24Y23
SLICEX SLICE_X25Y23
INT
INT_X17Y23
TIEOFF TIEOFF_X29Y46
CLEXM
CLEXM_X17Y23
SLICEM SLICE_X26Y23
SLICEX SLICE_X27Y23
INT
INT_X18Y23
TIEOFF TIEOFF_X31Y46
INT_INTERFACE_CARRY
INT_INTERFACE_CARRY_X18Y23
NULL
NULL_X44Y26
REG_V_MEMB_BOT
REG_V_MEMB_BOT_X18Y23
INT
INT_X19Y23
TIEOFF TIEOFF_X34Y46
CLEXM
CLEXM_X19Y23
SLICEM SLICE_X30Y23
SLICEX SLICE_X31Y23
INT
INT_X20Y23
TIEOFF TIEOFF_X36Y46
CLEXL
CLEXL_X20Y23
SLICEL SLICE_X32Y23
SLICEX SLICE_X33Y23
INT
INT_X21Y23
TIEOFF TIEOFF_X38Y46
CLEXM
CLEXM_X21Y23
SLICEM SLICE_X34Y23
SLICEX SLICE_X35Y23
INT
INT_X22Y23
TIEOFF TIEOFF_X39Y46
CLEXL
CLEXL_X22Y23
SLICEL SLICE_X36Y23
SLICEX SLICE_X37Y23
INT
INT_X23Y23
TIEOFF TIEOFF_X41Y46
CLEXM
CLEXM_X23Y23
SLICEM SLICE_X38Y23
SLICEX SLICE_X39Y23
INT
INT_X24Y23
TIEOFF TIEOFF_X43Y46
CLEXL
CLEXL_X24Y23
SLICEL SLICE_X40Y23
SLICEX SLICE_X41Y23
INT_BRAM
INT_BRAM_X25Y23
TIEOFF TIEOFF_X45Y46
INT_INTERFACE
INT_INTERFACE_X25Y23
NULL
NULL_X60Y26
INT
INT_X26Y23
TIEOFF TIEOFF_X46Y46
CLEXL
CLEXL_X26Y23
SLICEL SLICE_X42Y23
SLICEX SLICE_X43Y23
INT
INT_X27Y23
TIEOFF TIEOFF_X48Y46
CLEXM
CLEXM_X27Y23
SLICEM SLICE_X44Y23
SLICEX SLICE_X45Y23
INT
INT_X28Y23
TIEOFF TIEOFF_X50Y46
CLEXL
CLEXL_X28Y23
SLICEL SLICE_X46Y23
SLICEX SLICE_X47Y23
INT
INT_X29Y23
TIEOFF TIEOFF_X51Y46
CLEXM
CLEXM_X29Y23
SLICEM SLICE_X48Y23
SLICEX SLICE_X49Y23
INT
INT_X30Y23
TIEOFF TIEOFF_X53Y46
CLEXL
CLEXL_X30Y23
SLICEL SLICE_X50Y23
SLICEX SLICE_X51Y23
INT
INT_X31Y23
TIEOFF TIEOFF_X55Y46
INT_INTERFACE
INT_INTERFACE_X31Y23
NULL
NULL_X73Y26
INT
INT_X32Y23
TIEOFF TIEOFF_X56Y46
CLEXM
CLEXM_X32Y23
SLICEM SLICE_X52Y23
SLICEX SLICE_X53Y23
INT
INT_X33Y23
TIEOFF TIEOFF_X58Y46
CLEXL
CLEXL_X33Y23
SLICEL SLICE_X54Y23
SLICEX SLICE_X55Y23
INT_BRAM
INT_BRAM_X34Y23
TIEOFF TIEOFF_X60Y46
INT_INTERFACE
INT_INTERFACE_X34Y23
NULL
NULL_X80Y26
INT
INT_X35Y23
TIEOFF TIEOFF_X61Y46
CLEXM
CLEXM_X35Y23
SLICEM SLICE_X56Y23
SLICEX SLICE_X57Y23
INT
INT_X36Y23
TIEOFF TIEOFF_X63Y46
CLEXL
CLEXL_X36Y23
SLICEL SLICE_X58Y23
SLICEX SLICE_X59Y23
IOI_INT
IOI_INT_X37Y23
TIEOFF TIEOFF_X65Y46
RIOI
RIOI_X37Y23
OLOGIC2 OLOGIC_X27Y22
IODELAY2 IODELAY_X27Y22
ILOGIC2 ILOGIC_X27Y22
OLOGIC2 OLOGIC_X27Y23
IODELAY2 IODELAY_X27Y23
ILOGIC2 ILOGIC_X27Y23
TIEOFF TIEOFF_X66Y46
MCB_CAP_INT
MCB_CAP_INT_X37Y23
IOI_RTERM
IOI_RTERM_X88Y26
RIOB
RIOB_X37Y23
IOBS PAD140
IOBM PAD139
EMP_LIOB
EMP_LIOB_X0Y25
IOI_LTERM
IOI_LTERM_X1Y25
INT
INT_X0Y22
TIEOFF TIEOFF_X0Y44
INT_INTERFACE
INT_INTERFACE_X0Y22
MCB_CAP_INT
MCB_CAP_INT_X0Y22
INT
INT_X1Y22
TIEOFF TIEOFF_X2Y44
CLEXL
CLEXL_X1Y22
SLICEL SLICE_X0Y22
SLICEX SLICE_X1Y22
INT
INT_X2Y22
TIEOFF TIEOFF_X4Y44
CLEXM
CLEXM_X2Y22
SLICEM SLICE_X2Y22
SLICEX SLICE_X3Y22
INT_BRAM
INT_BRAM_X3Y22
TIEOFF TIEOFF_X6Y44
INT_INTERFACE
INT_INTERFACE_X3Y22
NULL
NULL_X11Y25
INT
INT_X4Y22
TIEOFF TIEOFF_X7Y44
CLEXL
CLEXL_X4Y22
SLICEL SLICE_X4Y22
SLICEX SLICE_X5Y22
INT
INT_X5Y22
TIEOFF TIEOFF_X9Y44
CLEXM
CLEXM_X5Y22
SLICEM SLICE_X6Y22
SLICEX SLICE_X7Y22
INT
INT_X6Y22
TIEOFF TIEOFF_X11Y44
INT_INTERFACE
INT_INTERFACE_X6Y22
NULL
NULL_X18Y25
INT
INT_X7Y22
TIEOFF TIEOFF_X12Y44
CLEXL
CLEXL_X7Y22
SLICEL SLICE_X8Y22
SLICEX SLICE_X9Y22
INT
INT_X8Y22
TIEOFF TIEOFF_X14Y44
CLEXM
CLEXM_X8Y22
SLICEM SLICE_X10Y22
SLICEX SLICE_X11Y22
INT
INT_X9Y22
TIEOFF TIEOFF_X16Y44
CLEXL
CLEXL_X9Y22
SLICEL SLICE_X12Y22
SLICEX SLICE_X13Y22
INT
INT_X10Y22
TIEOFF TIEOFF_X17Y44
CLEXM
CLEXM_X10Y22
SLICEM SLICE_X14Y22
SLICEX SLICE_X15Y22
INT
INT_X11Y22
TIEOFF TIEOFF_X19Y44
CLEXL
CLEXL_X11Y22
SLICEL SLICE_X16Y22
SLICEX SLICE_X17Y22
INT_BRAM
INT_BRAM_X12Y22
TIEOFF TIEOFF_X21Y44
INT_INTERFACE
INT_INTERFACE_X12Y22
NULL
NULL_X31Y25
INT
INT_X13Y22
TIEOFF TIEOFF_X22Y44
CLEXM
CLEXM_X13Y22
SLICEM SLICE_X18Y22
SLICEX SLICE_X19Y22
INT
INT_X14Y22
TIEOFF TIEOFF_X24Y44
CLEXL
CLEXL_X14Y22
SLICEL SLICE_X20Y22
SLICEX SLICE_X21Y22
INT
INT_X15Y22
TIEOFF TIEOFF_X26Y44
CLEXM
CLEXM_X15Y22
SLICEM SLICE_X22Y22
SLICEX SLICE_X23Y22
INT
INT_X16Y22
TIEOFF TIEOFF_X28Y44
CLEXL
CLEXL_X16Y22
SLICEL SLICE_X24Y22
SLICEX SLICE_X25Y22
INT
INT_X17Y22
TIEOFF TIEOFF_X29Y44
CLEXM
CLEXM_X17Y22
SLICEM SLICE_X26Y22
SLICEX SLICE_X27Y22
INT
INT_X18Y22
TIEOFF TIEOFF_X31Y44
CLEXL
CLEXL_X18Y22
SLICEL SLICE_X28Y22
SLICEX SLICE_X29Y22
NULL
NULL_X44Y25
REG_V
REG_V_X18Y22
INT
INT_X19Y22
TIEOFF TIEOFF_X34Y44
CLEXM
CLEXM_X19Y22
SLICEM SLICE_X30Y22
SLICEX SLICE_X31Y22
INT
INT_X20Y22
TIEOFF TIEOFF_X36Y44
CLEXL
CLEXL_X20Y22
SLICEL SLICE_X32Y22
SLICEX SLICE_X33Y22
INT
INT_X21Y22
TIEOFF TIEOFF_X38Y44
CLEXM
CLEXM_X21Y22
SLICEM SLICE_X34Y22
SLICEX SLICE_X35Y22
INT
INT_X22Y22
TIEOFF TIEOFF_X39Y44
CLEXL
CLEXL_X22Y22
SLICEL SLICE_X36Y22
SLICEX SLICE_X37Y22
INT
INT_X23Y22
TIEOFF TIEOFF_X41Y44
CLEXM
CLEXM_X23Y22
SLICEM SLICE_X38Y22
SLICEX SLICE_X39Y22
INT
INT_X24Y22
TIEOFF TIEOFF_X43Y44
CLEXL
CLEXL_X24Y22
SLICEL SLICE_X40Y22
SLICEX SLICE_X41Y22
INT_BRAM
INT_BRAM_X25Y22
TIEOFF TIEOFF_X45Y44
INT_INTERFACE
INT_INTERFACE_X25Y22
NULL
NULL_X60Y25
INT
INT_X26Y22
TIEOFF TIEOFF_X46Y44
CLEXL
CLEXL_X26Y22
SLICEL SLICE_X42Y22
SLICEX SLICE_X43Y22
INT
INT_X27Y22
TIEOFF TIEOFF_X48Y44
CLEXM
CLEXM_X27Y22
SLICEM SLICE_X44Y22
SLICEX SLICE_X45Y22
INT
INT_X28Y22
TIEOFF TIEOFF_X50Y44
CLEXL
CLEXL_X28Y22
SLICEL SLICE_X46Y22
SLICEX SLICE_X47Y22
INT
INT_X29Y22
TIEOFF TIEOFF_X51Y44
CLEXM
CLEXM_X29Y22
SLICEM SLICE_X48Y22
SLICEX SLICE_X49Y22
INT
INT_X30Y22
TIEOFF TIEOFF_X53Y44
CLEXL
CLEXL_X30Y22
SLICEL SLICE_X50Y22
SLICEX SLICE_X51Y22
INT
INT_X31Y22
TIEOFF TIEOFF_X55Y44
INT_INTERFACE
INT_INTERFACE_X31Y22
NULL
NULL_X73Y25
INT
INT_X32Y22
TIEOFF TIEOFF_X56Y44
CLEXM
CLEXM_X32Y22
SLICEM SLICE_X52Y22
SLICEX SLICE_X53Y22
INT
INT_X33Y22
TIEOFF TIEOFF_X58Y44
CLEXL
CLEXL_X33Y22
SLICEL SLICE_X54Y22
SLICEX SLICE_X55Y22
INT_BRAM
INT_BRAM_X34Y22
TIEOFF TIEOFF_X60Y44
INT_INTERFACE
INT_INTERFACE_X34Y22
NULL
NULL_X80Y25
INT
INT_X35Y22
TIEOFF TIEOFF_X61Y44
CLEXM
CLEXM_X35Y22
SLICEM SLICE_X56Y22
SLICEX SLICE_X57Y22
INT
INT_X36Y22
TIEOFF TIEOFF_X63Y44
CLEXL
CLEXL_X36Y22
SLICEL SLICE_X58Y22
SLICEX SLICE_X59Y22
INT
INT_X37Y22
TIEOFF TIEOFF_X65Y44
INT_INTERFACE
INT_INTERFACE_X37Y22
MCB_CAP_INT
MCB_CAP_INT_X37Y22
IOI_RTERM
IOI_RTERM_X88Y25
EMP_RIOB
EMP_RIOB_X37Y22
LIOB
LIOB_X0Y21
IOBM PAD275
IOBS PAD276
IOI_LTERM
IOI_LTERM_X1Y24
LIOI_INT
LIOI_INT_X0Y21
TIEOFF TIEOFF_X0Y42
LIOI
LIOI_X0Y21
OLOGIC2 OLOGIC_X0Y20
IODELAY2 IODELAY_X0Y20
ILOGIC2 ILOGIC_X0Y20
OLOGIC2 OLOGIC_X0Y21
IODELAY2 IODELAY_X0Y21
ILOGIC2 ILOGIC_X0Y21
TIEOFF TIEOFF_X1Y42
MCB_CAP_INT
MCB_CAP_INT_X0Y21
INT
INT_X1Y21
TIEOFF TIEOFF_X2Y42
CLEXL
CLEXL_X1Y21
SLICEL SLICE_X0Y21
SLICEX SLICE_X1Y21
INT
INT_X2Y21
TIEOFF TIEOFF_X4Y42
CLEXM
CLEXM_X2Y21
SLICEM SLICE_X2Y21
SLICEX SLICE_X3Y21
INT_BRAM
INT_BRAM_X3Y21
TIEOFF TIEOFF_X6Y42
INT_INTERFACE
INT_INTERFACE_X3Y21
NULL
NULL_X11Y24
INT
INT_X4Y21
TIEOFF TIEOFF_X7Y42
CLEXL
CLEXL_X4Y21
SLICEL SLICE_X4Y21
SLICEX SLICE_X5Y21
INT
INT_X5Y21
TIEOFF TIEOFF_X9Y42
CLEXM
CLEXM_X5Y21
SLICEM SLICE_X6Y21
SLICEX SLICE_X7Y21
INT
INT_X6Y21
TIEOFF TIEOFF_X11Y42
INT_INTERFACE
INT_INTERFACE_X6Y21
NULL
NULL_X18Y24
INT
INT_X7Y21
TIEOFF TIEOFF_X12Y42
CLEXL
CLEXL_X7Y21
SLICEL SLICE_X8Y21
SLICEX SLICE_X9Y21
INT
INT_X8Y21
TIEOFF TIEOFF_X14Y42
CLEXM
CLEXM_X8Y21
SLICEM SLICE_X10Y21
SLICEX SLICE_X11Y21
INT
INT_X9Y21
TIEOFF TIEOFF_X16Y42
CLEXL
CLEXL_X9Y21
SLICEL SLICE_X12Y21
SLICEX SLICE_X13Y21
INT
INT_X10Y21
TIEOFF TIEOFF_X17Y42
CLEXM
CLEXM_X10Y21
SLICEM SLICE_X14Y21
SLICEX SLICE_X15Y21
INT
INT_X11Y21
TIEOFF TIEOFF_X19Y42
CLEXL
CLEXL_X11Y21
SLICEL SLICE_X16Y21
SLICEX SLICE_X17Y21
INT_BRAM
INT_BRAM_X12Y21
TIEOFF TIEOFF_X21Y42
INT_INTERFACE
INT_INTERFACE_X12Y21
NULL
NULL_X31Y24
INT
INT_X13Y21
TIEOFF TIEOFF_X22Y42
CLEXM
CLEXM_X13Y21
SLICEM SLICE_X18Y21
SLICEX SLICE_X19Y21
INT
INT_X14Y21
TIEOFF TIEOFF_X24Y42
CLEXL
CLEXL_X14Y21
SLICEL SLICE_X20Y21
SLICEX SLICE_X21Y21
INT
INT_X15Y21
TIEOFF TIEOFF_X26Y42
CLEXM
CLEXM_X15Y21
SLICEM SLICE_X22Y21
SLICEX SLICE_X23Y21
INT
INT_X16Y21
TIEOFF TIEOFF_X28Y42
CLEXL
CLEXL_X16Y21
SLICEL SLICE_X24Y21
SLICEX SLICE_X25Y21
INT
INT_X17Y21
TIEOFF TIEOFF_X29Y42
CLEXM
CLEXM_X17Y21
SLICEM SLICE_X26Y21
SLICEX SLICE_X27Y21
INT
INT_X18Y21
TIEOFF TIEOFF_X31Y42
CLEXL
CLEXL_X18Y21
SLICEL SLICE_X28Y21
SLICEX SLICE_X29Y21
NULL
NULL_X44Y24
REG_V
REG_V_X18Y21
INT
INT_X19Y21
TIEOFF TIEOFF_X34Y42
CLEXM
CLEXM_X19Y21
SLICEM SLICE_X30Y21
SLICEX SLICE_X31Y21
INT
INT_X20Y21
TIEOFF TIEOFF_X36Y42
CLEXL
CLEXL_X20Y21
SLICEL SLICE_X32Y21
SLICEX SLICE_X33Y21
INT
INT_X21Y21
TIEOFF TIEOFF_X38Y42
CLEXM
CLEXM_X21Y21
SLICEM SLICE_X34Y21
SLICEX SLICE_X35Y21
INT
INT_X22Y21
TIEOFF TIEOFF_X39Y42
CLEXL
CLEXL_X22Y21
SLICEL SLICE_X36Y21
SLICEX SLICE_X37Y21
INT
INT_X23Y21
TIEOFF TIEOFF_X41Y42
CLEXM
CLEXM_X23Y21
SLICEM SLICE_X38Y21
SLICEX SLICE_X39Y21
INT
INT_X24Y21
TIEOFF TIEOFF_X43Y42
CLEXL
CLEXL_X24Y21
SLICEL SLICE_X40Y21
SLICEX SLICE_X41Y21
INT_BRAM
INT_BRAM_X25Y21
TIEOFF TIEOFF_X45Y42
INT_INTERFACE
INT_INTERFACE_X25Y21
NULL
NULL_X60Y24
INT
INT_X26Y21
TIEOFF TIEOFF_X46Y42
CLEXL
CLEXL_X26Y21
SLICEL SLICE_X42Y21
SLICEX SLICE_X43Y21
INT
INT_X27Y21
TIEOFF TIEOFF_X48Y42
CLEXM
CLEXM_X27Y21
SLICEM SLICE_X44Y21
SLICEX SLICE_X45Y21
INT
INT_X28Y21
TIEOFF TIEOFF_X50Y42
CLEXL
CLEXL_X28Y21
SLICEL SLICE_X46Y21
SLICEX SLICE_X47Y21
INT
INT_X29Y21
TIEOFF TIEOFF_X51Y42
CLEXM
CLEXM_X29Y21
SLICEM SLICE_X48Y21
SLICEX SLICE_X49Y21
INT
INT_X30Y21
TIEOFF TIEOFF_X53Y42
CLEXL
CLEXL_X30Y21
SLICEL SLICE_X50Y21
SLICEX SLICE_X51Y21
INT
INT_X31Y21
TIEOFF TIEOFF_X55Y42
INT_INTERFACE
INT_INTERFACE_X31Y21
NULL
NULL_X73Y24
INT
INT_X32Y21
TIEOFF TIEOFF_X56Y42
CLEXM
CLEXM_X32Y21
SLICEM SLICE_X52Y21
SLICEX SLICE_X53Y21
INT
INT_X33Y21
TIEOFF TIEOFF_X58Y42
CLEXL
CLEXL_X33Y21
SLICEL SLICE_X54Y21
SLICEX SLICE_X55Y21
INT_BRAM
INT_BRAM_X34Y21
TIEOFF TIEOFF_X60Y42
INT_INTERFACE
INT_INTERFACE_X34Y21
NULL
NULL_X80Y24
INT
INT_X35Y21
TIEOFF TIEOFF_X61Y42
CLEXM
CLEXM_X35Y21
SLICEM SLICE_X56Y21
SLICEX SLICE_X57Y21
INT
INT_X36Y21
TIEOFF TIEOFF_X63Y42
CLEXL
CLEXL_X36Y21
SLICEL SLICE_X58Y21
SLICEX SLICE_X59Y21
IOI_INT
IOI_INT_X37Y21
TIEOFF TIEOFF_X65Y42
RIOI
RIOI_X37Y21
OLOGIC2 OLOGIC_X27Y20
IODELAY2 IODELAY_X27Y20
ILOGIC2 ILOGIC_X27Y20
OLOGIC2 OLOGIC_X27Y21
IODELAY2 IODELAY_X27Y21
ILOGIC2 ILOGIC_X27Y21
TIEOFF TIEOFF_X66Y42
MCB_CAP_INT
MCB_CAP_INT_X37Y21
IOI_RTERM
IOI_RTERM_X88Y24
RIOB
RIOB_X37Y21
IOBS PAD142
IOBM PAD141
EMP_LIOB
EMP_LIOB_X0Y23
IOI_LTERM
IOI_LTERM_X1Y23
INT
INT_X0Y20
TIEOFF TIEOFF_X0Y40
INT_INTERFACE
INT_INTERFACE_X0Y20
MCB_CAP_INT
MCB_CAP_INT_X0Y20
INT
INT_X1Y20
TIEOFF TIEOFF_X2Y40
CLEXL
CLEXL_X1Y20
SLICEL SLICE_X0Y20
SLICEX SLICE_X1Y20
INT
INT_X2Y20
TIEOFF TIEOFF_X4Y40
CLEXM
CLEXM_X2Y20
SLICEM SLICE_X2Y20
SLICEX SLICE_X3Y20
INT_BRAM
INT_BRAM_X3Y20
TIEOFF TIEOFF_X6Y40
INT_INTERFACE
INT_INTERFACE_X3Y20
BRAMSITE2
BRAMSITE2_X3Y20
RAMB16BWER RAMB16_X0Y10
RAMB8BWER RAMB8_X0Y10
RAMB8BWER RAMB8_X0Y11
INT
INT_X4Y20
TIEOFF TIEOFF_X7Y40
CLEXL
CLEXL_X4Y20
SLICEL SLICE_X4Y20
SLICEX SLICE_X5Y20
INT
INT_X5Y20
TIEOFF TIEOFF_X9Y40
CLEXM
CLEXM_X5Y20
SLICEM SLICE_X6Y20
SLICEX SLICE_X7Y20
INT
INT_X6Y20
TIEOFF TIEOFF_X11Y40
INT_INTERFACE
INT_INTERFACE_X6Y20
MACCSITE2
MACCSITE2_X6Y20
DSP48A1 DSP48_X0Y5
INT
INT_X7Y20
TIEOFF TIEOFF_X12Y40
CLEXL
CLEXL_X7Y20
SLICEL SLICE_X8Y20
SLICEX SLICE_X9Y20
INT
INT_X8Y20
TIEOFF TIEOFF_X14Y40
CLEXM
CLEXM_X8Y20
SLICEM SLICE_X10Y20
SLICEX SLICE_X11Y20
INT
INT_X9Y20
TIEOFF TIEOFF_X16Y40
CLEXL
CLEXL_X9Y20
SLICEL SLICE_X12Y20
SLICEX SLICE_X13Y20
INT
INT_X10Y20
TIEOFF TIEOFF_X17Y40
CLEXM
CLEXM_X10Y20
SLICEM SLICE_X14Y20
SLICEX SLICE_X15Y20
INT
INT_X11Y20
TIEOFF TIEOFF_X19Y40
CLEXL
CLEXL_X11Y20
SLICEL SLICE_X16Y20
SLICEX SLICE_X17Y20
INT_BRAM
INT_BRAM_X12Y20
TIEOFF TIEOFF_X21Y40
INT_INTERFACE
INT_INTERFACE_X12Y20
BRAMSITE2
BRAMSITE2_X12Y20
RAMB16BWER RAMB16_X1Y10
RAMB8BWER RAMB8_X1Y10
RAMB8BWER RAMB8_X1Y11
INT
INT_X13Y20
TIEOFF TIEOFF_X22Y40
CLEXM
CLEXM_X13Y20
SLICEM SLICE_X18Y20
SLICEX SLICE_X19Y20
INT
INT_X14Y20
TIEOFF TIEOFF_X24Y40
CLEXL
CLEXL_X14Y20
SLICEL SLICE_X20Y20
SLICEX SLICE_X21Y20
INT
INT_X15Y20
TIEOFF TIEOFF_X26Y40
CLEXM
CLEXM_X15Y20
SLICEM SLICE_X22Y20
SLICEX SLICE_X23Y20
INT
INT_X16Y20
TIEOFF TIEOFF_X28Y40
CLEXL
CLEXL_X16Y20
SLICEL SLICE_X24Y20
SLICEX SLICE_X25Y20
INT
INT_X17Y20
TIEOFF TIEOFF_X29Y40
CLEXM
CLEXM_X17Y20
SLICEM SLICE_X26Y20
SLICEX SLICE_X27Y20
INT
INT_X18Y20
TIEOFF TIEOFF_X31Y40
CLEXL
CLEXL_X18Y20
SLICEL SLICE_X28Y20
SLICEX SLICE_X29Y20
NULL
NULL_X44Y23
REG_V
REG_V_X18Y20
INT
INT_X19Y20
TIEOFF TIEOFF_X34Y40
CLEXM
CLEXM_X19Y20
SLICEM SLICE_X30Y20
SLICEX SLICE_X31Y20
INT
INT_X20Y20
TIEOFF TIEOFF_X36Y40
CLEXL
CLEXL_X20Y20
SLICEL SLICE_X32Y20
SLICEX SLICE_X33Y20
INT
INT_X21Y20
TIEOFF TIEOFF_X38Y40
CLEXM
CLEXM_X21Y20
SLICEM SLICE_X34Y20
SLICEX SLICE_X35Y20
INT
INT_X22Y20
TIEOFF TIEOFF_X39Y40
CLEXL
CLEXL_X22Y20
SLICEL SLICE_X36Y20
SLICEX SLICE_X37Y20
INT
INT_X23Y20
TIEOFF TIEOFF_X41Y40
CLEXM
CLEXM_X23Y20
SLICEM SLICE_X38Y20
SLICEX SLICE_X39Y20
INT
INT_X24Y20
TIEOFF TIEOFF_X43Y40
CLEXL
CLEXL_X24Y20
SLICEL SLICE_X40Y20
SLICEX SLICE_X41Y20
INT_BRAM
INT_BRAM_X25Y20
TIEOFF TIEOFF_X45Y40
INT_INTERFACE
INT_INTERFACE_X25Y20
BRAMSITE2
BRAMSITE2_X25Y20
RAMB16BWER RAMB16_X2Y10
RAMB8BWER RAMB8_X2Y10
RAMB8BWER RAMB8_X2Y11
INT
INT_X26Y20
TIEOFF TIEOFF_X46Y40
CLEXL
CLEXL_X26Y20
SLICEL SLICE_X42Y20
SLICEX SLICE_X43Y20
INT
INT_X27Y20
TIEOFF TIEOFF_X48Y40
CLEXM
CLEXM_X27Y20
SLICEM SLICE_X44Y20
SLICEX SLICE_X45Y20
INT
INT_X28Y20
TIEOFF TIEOFF_X50Y40
CLEXL
CLEXL_X28Y20
SLICEL SLICE_X46Y20
SLICEX SLICE_X47Y20
INT
INT_X29Y20
TIEOFF TIEOFF_X51Y40
CLEXM
CLEXM_X29Y20
SLICEM SLICE_X48Y20
SLICEX SLICE_X49Y20
INT
INT_X30Y20
TIEOFF TIEOFF_X53Y40
CLEXL
CLEXL_X30Y20
SLICEL SLICE_X50Y20
SLICEX SLICE_X51Y20
INT
INT_X31Y20
TIEOFF TIEOFF_X55Y40
INT_INTERFACE
INT_INTERFACE_X31Y20
MACCSITE2
MACCSITE2_X31Y20
DSP48A1 DSP48_X1Y5
INT
INT_X32Y20
TIEOFF TIEOFF_X56Y40
CLEXM
CLEXM_X32Y20
SLICEM SLICE_X52Y20
SLICEX SLICE_X53Y20
INT
INT_X33Y20
TIEOFF TIEOFF_X58Y40
CLEXL
CLEXL_X33Y20
SLICEL SLICE_X54Y20
SLICEX SLICE_X55Y20
INT_BRAM
INT_BRAM_X34Y20
TIEOFF TIEOFF_X60Y40
INT_INTERFACE
INT_INTERFACE_X34Y20
BRAMSITE2
BRAMSITE2_X34Y20
RAMB16BWER RAMB16_X3Y10
RAMB8BWER RAMB8_X3Y10
RAMB8BWER RAMB8_X3Y11
INT
INT_X35Y20
TIEOFF TIEOFF_X61Y40
CLEXM
CLEXM_X35Y20
SLICEM SLICE_X56Y20
SLICEX SLICE_X57Y20
INT
INT_X36Y20
TIEOFF TIEOFF_X63Y40
CLEXL
CLEXL_X36Y20
SLICEL SLICE_X58Y20
SLICEX SLICE_X59Y20
INT
INT_X37Y20
TIEOFF TIEOFF_X65Y40
INT_INTERFACE
INT_INTERFACE_X37Y20
MCB_CAP_INT
MCB_CAP_INT_X37Y20
IOI_RTERM
IOI_RTERM_X88Y23
EMP_RIOB
EMP_RIOB_X37Y20
EMP_LIOB
EMP_LIOB_X0Y22
IOI_LTERM
IOI_LTERM_X1Y22
INT
INT_X0Y19
TIEOFF TIEOFF_X0Y38
INT_INTERFACE
INT_INTERFACE_X0Y19
MCB_CAP_INT
MCB_CAP_INT_X0Y19
INT
INT_X1Y19
TIEOFF TIEOFF_X2Y38
CLEXL
CLEXL_X1Y19
SLICEL SLICE_X0Y19
SLICEX SLICE_X1Y19
INT
INT_X2Y19
TIEOFF TIEOFF_X4Y38
CLEXM
CLEXM_X2Y19
SLICEM SLICE_X2Y19
SLICEX SLICE_X3Y19
INT_BRAM
INT_BRAM_X3Y19
TIEOFF TIEOFF_X6Y38
INT_INTERFACE
INT_INTERFACE_X3Y19
NULL
NULL_X11Y22
INT
INT_X4Y19
TIEOFF TIEOFF_X7Y38
CLEXL
CLEXL_X4Y19
SLICEL SLICE_X4Y19
SLICEX SLICE_X5Y19
INT
INT_X5Y19
TIEOFF TIEOFF_X9Y38
CLEXM
CLEXM_X5Y19
SLICEM SLICE_X6Y19
SLICEX SLICE_X7Y19
INT
INT_X6Y19
TIEOFF TIEOFF_X11Y38
INT_INTERFACE
INT_INTERFACE_X6Y19
NULL
NULL_X18Y22
INT
INT_X7Y19
TIEOFF TIEOFF_X12Y38
CLEXL
CLEXL_X7Y19
SLICEL SLICE_X8Y19
SLICEX SLICE_X9Y19
INT
INT_X8Y19
TIEOFF TIEOFF_X14Y38
CLEXM
CLEXM_X8Y19
SLICEM SLICE_X10Y19
SLICEX SLICE_X11Y19
INT
INT_X9Y19
TIEOFF TIEOFF_X16Y38
CLEXL
CLEXL_X9Y19
SLICEL SLICE_X12Y19
SLICEX SLICE_X13Y19
INT
INT_X10Y19
TIEOFF TIEOFF_X17Y38
CLEXM
CLEXM_X10Y19
SLICEM SLICE_X14Y19
SLICEX SLICE_X15Y19
INT
INT_X11Y19
TIEOFF TIEOFF_X19Y38
CLEXL
CLEXL_X11Y19
SLICEL SLICE_X16Y19
SLICEX SLICE_X17Y19
INT_BRAM
INT_BRAM_X12Y19
TIEOFF TIEOFF_X21Y38
INT_INTERFACE
INT_INTERFACE_X12Y19
NULL
NULL_X31Y22
INT
INT_X13Y19
TIEOFF TIEOFF_X22Y38
CLEXM
CLEXM_X13Y19
SLICEM SLICE_X18Y19
SLICEX SLICE_X19Y19
INT
INT_X14Y19
TIEOFF TIEOFF_X24Y38
CLEXL
CLEXL_X14Y19
SLICEL SLICE_X20Y19
SLICEX SLICE_X21Y19
INT
INT_X15Y19
TIEOFF TIEOFF_X26Y38
CLEXM
CLEXM_X15Y19
SLICEM SLICE_X22Y19
SLICEX SLICE_X23Y19
INT
INT_X16Y19
TIEOFF TIEOFF_X28Y38
CLEXL
CLEXL_X16Y19
SLICEL SLICE_X24Y19
SLICEX SLICE_X25Y19
INT
INT_X17Y19
TIEOFF TIEOFF_X29Y38
CLEXM
CLEXM_X17Y19
SLICEM SLICE_X26Y19
SLICEX SLICE_X27Y19
INT
INT_X18Y19
TIEOFF TIEOFF_X31Y38
CLEXL
CLEXL_X18Y19
SLICEL SLICE_X28Y19
SLICEX SLICE_X29Y19
NULL
NULL_X44Y22
REG_V
REG_V_X18Y19
INT
INT_X19Y19
TIEOFF TIEOFF_X34Y38
CLEXM
CLEXM_X19Y19
SLICEM SLICE_X30Y19
SLICEX SLICE_X31Y19
INT
INT_X20Y19
TIEOFF TIEOFF_X36Y38
CLEXL
CLEXL_X20Y19
SLICEL SLICE_X32Y19
SLICEX SLICE_X33Y19
INT
INT_X21Y19
TIEOFF TIEOFF_X38Y38
CLEXM
CLEXM_X21Y19
SLICEM SLICE_X34Y19
SLICEX SLICE_X35Y19
INT
INT_X22Y19
TIEOFF TIEOFF_X39Y38
CLEXL
CLEXL_X22Y19
SLICEL SLICE_X36Y19
SLICEX SLICE_X37Y19
INT
INT_X23Y19
TIEOFF TIEOFF_X41Y38
CLEXM
CLEXM_X23Y19
SLICEM SLICE_X38Y19
SLICEX SLICE_X39Y19
INT
INT_X24Y19
TIEOFF TIEOFF_X43Y38
CLEXL
CLEXL_X24Y19
SLICEL SLICE_X40Y19
SLICEX SLICE_X41Y19
INT_BRAM
INT_BRAM_X25Y19
TIEOFF TIEOFF_X45Y38
INT_INTERFACE
INT_INTERFACE_X25Y19
NULL
NULL_X60Y22
INT
INT_X26Y19
TIEOFF TIEOFF_X46Y38
CLEXL
CLEXL_X26Y19
SLICEL SLICE_X42Y19
SLICEX SLICE_X43Y19
INT
INT_X27Y19
TIEOFF TIEOFF_X48Y38
CLEXM
CLEXM_X27Y19
SLICEM SLICE_X44Y19
SLICEX SLICE_X45Y19
INT
INT_X28Y19
TIEOFF TIEOFF_X50Y38
CLEXL
CLEXL_X28Y19
SLICEL SLICE_X46Y19
SLICEX SLICE_X47Y19
INT
INT_X29Y19
TIEOFF TIEOFF_X51Y38
CLEXM
CLEXM_X29Y19
SLICEM SLICE_X48Y19
SLICEX SLICE_X49Y19
INT
INT_X30Y19
TIEOFF TIEOFF_X53Y38
CLEXL
CLEXL_X30Y19
SLICEL SLICE_X50Y19
SLICEX SLICE_X51Y19
INT
INT_X31Y19
TIEOFF TIEOFF_X55Y38
INT_INTERFACE
INT_INTERFACE_X31Y19
NULL
NULL_X73Y22
INT
INT_X32Y19
TIEOFF TIEOFF_X56Y38
CLEXM
CLEXM_X32Y19
SLICEM SLICE_X52Y19
SLICEX SLICE_X53Y19
INT
INT_X33Y19
TIEOFF TIEOFF_X58Y38
CLEXL
CLEXL_X33Y19
SLICEL SLICE_X54Y19
SLICEX SLICE_X55Y19
INT_BRAM
INT_BRAM_X34Y19
TIEOFF TIEOFF_X60Y38
INT_INTERFACE
INT_INTERFACE_X34Y19
NULL
NULL_X80Y22
INT
INT_X35Y19
TIEOFF TIEOFF_X61Y38
CLEXM
CLEXM_X35Y19
SLICEM SLICE_X56Y19
SLICEX SLICE_X57Y19
INT
INT_X36Y19
TIEOFF TIEOFF_X63Y38
CLEXL
CLEXL_X36Y19
SLICEL SLICE_X58Y19
SLICEX SLICE_X59Y19
INT
INT_X37Y19
TIEOFF TIEOFF_X65Y38
INT_INTERFACE
INT_INTERFACE_X37Y19
MCB_CAP_INT
MCB_CAP_INT_X37Y19
IOI_RTERM
IOI_RTERM_X88Y22
EMP_RIOB
EMP_RIOB_X37Y19
LIOB
LIOB_X0Y18
IOBM PAD273
IOBS PAD274
IOI_LTERM
IOI_LTERM_X1Y21
LIOI_INT
LIOI_INT_X0Y18
TIEOFF TIEOFF_X0Y36
LIOI
LIOI_X0Y18
OLOGIC2 OLOGIC_X0Y18
IODELAY2 IODELAY_X0Y18
ILOGIC2 ILOGIC_X0Y18
OLOGIC2 OLOGIC_X0Y19
IODELAY2 IODELAY_X0Y19
ILOGIC2 ILOGIC_X0Y19
TIEOFF TIEOFF_X1Y36
MCB_CAP_INT
MCB_CAP_INT_X0Y18
INT
INT_X1Y18
TIEOFF TIEOFF_X2Y36
CLEXL
CLEXL_X1Y18
SLICEL SLICE_X0Y18
SLICEX SLICE_X1Y18
INT
INT_X2Y18
TIEOFF TIEOFF_X4Y36
CLEXM
CLEXM_X2Y18
SLICEM SLICE_X2Y18
SLICEX SLICE_X3Y18
INT_BRAM
INT_BRAM_X3Y18
TIEOFF TIEOFF_X6Y36
INT_INTERFACE
INT_INTERFACE_X3Y18
NULL
NULL_X11Y21
INT
INT_X4Y18
TIEOFF TIEOFF_X7Y36
CLEXL
CLEXL_X4Y18
SLICEL SLICE_X4Y18
SLICEX SLICE_X5Y18
INT
INT_X5Y18
TIEOFF TIEOFF_X9Y36
CLEXM
CLEXM_X5Y18
SLICEM SLICE_X6Y18
SLICEX SLICE_X7Y18
INT
INT_X6Y18
TIEOFF TIEOFF_X11Y36
INT_INTERFACE
INT_INTERFACE_X6Y18
NULL
NULL_X18Y21
INT
INT_X7Y18
TIEOFF TIEOFF_X12Y36
CLEXL
CLEXL_X7Y18
SLICEL SLICE_X8Y18
SLICEX SLICE_X9Y18
INT
INT_X8Y18
TIEOFF TIEOFF_X14Y36
CLEXM
CLEXM_X8Y18
SLICEM SLICE_X10Y18
SLICEX SLICE_X11Y18
INT
INT_X9Y18
TIEOFF TIEOFF_X16Y36
CLEXL
CLEXL_X9Y18
SLICEL SLICE_X12Y18
SLICEX SLICE_X13Y18
INT
INT_X10Y18
TIEOFF TIEOFF_X17Y36
CLEXM
CLEXM_X10Y18
SLICEM SLICE_X14Y18
SLICEX SLICE_X15Y18
INT
INT_X11Y18
TIEOFF TIEOFF_X19Y36
CLEXL
CLEXL_X11Y18
SLICEL SLICE_X16Y18
SLICEX SLICE_X17Y18
INT_BRAM
INT_BRAM_X12Y18
TIEOFF TIEOFF_X21Y36
INT_INTERFACE
INT_INTERFACE_X12Y18
NULL
NULL_X31Y21
INT
INT_X13Y18
TIEOFF TIEOFF_X22Y36
CLEXM
CLEXM_X13Y18
SLICEM SLICE_X18Y18
SLICEX SLICE_X19Y18
INT
INT_X14Y18
TIEOFF TIEOFF_X24Y36
CLEXL
CLEXL_X14Y18
SLICEL SLICE_X20Y18
SLICEX SLICE_X21Y18
INT
INT_X15Y18
TIEOFF TIEOFF_X26Y36
CLEXM
CLEXM_X15Y18
SLICEM SLICE_X22Y18
SLICEX SLICE_X23Y18
INT
INT_X16Y18
TIEOFF TIEOFF_X28Y36
CLEXL
CLEXL_X16Y18
SLICEL SLICE_X24Y18
SLICEX SLICE_X25Y18
INT
INT_X17Y18
TIEOFF TIEOFF_X29Y36
CLEXM
CLEXM_X17Y18
SLICEM SLICE_X26Y18
SLICEX SLICE_X27Y18
INT
INT_X18Y18
TIEOFF TIEOFF_X31Y36
CLEXL
CLEXL_X18Y18
SLICEL SLICE_X28Y18
SLICEX SLICE_X29Y18
NULL
NULL_X44Y21
REG_V
REG_V_X18Y18
INT
INT_X19Y18
TIEOFF TIEOFF_X34Y36
CLEXM
CLEXM_X19Y18
SLICEM SLICE_X30Y18
SLICEX SLICE_X31Y18
INT
INT_X20Y18
TIEOFF TIEOFF_X36Y36
CLEXL
CLEXL_X20Y18
SLICEL SLICE_X32Y18
SLICEX SLICE_X33Y18
INT
INT_X21Y18
TIEOFF TIEOFF_X38Y36
CLEXM
CLEXM_X21Y18
SLICEM SLICE_X34Y18
SLICEX SLICE_X35Y18
INT
INT_X22Y18
TIEOFF TIEOFF_X39Y36
CLEXL
CLEXL_X22Y18
SLICEL SLICE_X36Y18
SLICEX SLICE_X37Y18
INT
INT_X23Y18
TIEOFF TIEOFF_X41Y36
CLEXM
CLEXM_X23Y18
SLICEM SLICE_X38Y18
SLICEX SLICE_X39Y18
INT
INT_X24Y18
TIEOFF TIEOFF_X43Y36
CLEXL
CLEXL_X24Y18
SLICEL SLICE_X40Y18
SLICEX SLICE_X41Y18
INT_BRAM
INT_BRAM_X25Y18
TIEOFF TIEOFF_X45Y36
INT_INTERFACE
INT_INTERFACE_X25Y18
NULL
NULL_X60Y21
INT
INT_X26Y18
TIEOFF TIEOFF_X46Y36
CLEXL
CLEXL_X26Y18
SLICEL SLICE_X42Y18
SLICEX SLICE_X43Y18
INT
INT_X27Y18
TIEOFF TIEOFF_X48Y36
CLEXM
CLEXM_X27Y18
SLICEM SLICE_X44Y18
SLICEX SLICE_X45Y18
INT
INT_X28Y18
TIEOFF TIEOFF_X50Y36
CLEXL
CLEXL_X28Y18
SLICEL SLICE_X46Y18
SLICEX SLICE_X47Y18
INT
INT_X29Y18
TIEOFF TIEOFF_X51Y36
CLEXM
CLEXM_X29Y18
SLICEM SLICE_X48Y18
SLICEX SLICE_X49Y18
INT
INT_X30Y18
TIEOFF TIEOFF_X53Y36
CLEXL
CLEXL_X30Y18
SLICEL SLICE_X50Y18
SLICEX SLICE_X51Y18
INT
INT_X31Y18
TIEOFF TIEOFF_X55Y36
INT_INTERFACE
INT_INTERFACE_X31Y18
NULL
NULL_X73Y21
INT
INT_X32Y18
TIEOFF TIEOFF_X56Y36
CLEXM
CLEXM_X32Y18
SLICEM SLICE_X52Y18
SLICEX SLICE_X53Y18
INT
INT_X33Y18
TIEOFF TIEOFF_X58Y36
CLEXL
CLEXL_X33Y18
SLICEL SLICE_X54Y18
SLICEX SLICE_X55Y18
INT_BRAM
INT_BRAM_X34Y18
TIEOFF TIEOFF_X60Y36
INT_INTERFACE
INT_INTERFACE_X34Y18
NULL
NULL_X80Y21
INT
INT_X35Y18
TIEOFF TIEOFF_X61Y36
CLEXM
CLEXM_X35Y18
SLICEM SLICE_X56Y18
SLICEX SLICE_X57Y18
INT
INT_X36Y18
TIEOFF TIEOFF_X63Y36
CLEXL
CLEXL_X36Y18
SLICEL SLICE_X58Y18
SLICEX SLICE_X59Y18
IOI_INT
IOI_INT_X37Y18
TIEOFF TIEOFF_X65Y36
RIOI
RIOI_X37Y18
OLOGIC2 OLOGIC_X27Y18
IODELAY2 IODELAY_X27Y18
ILOGIC2 ILOGIC_X27Y18
OLOGIC2 OLOGIC_X27Y19
IODELAY2 IODELAY_X27Y19
ILOGIC2 ILOGIC_X27Y19
TIEOFF TIEOFF_X66Y36
MCB_CAP_INT
MCB_CAP_INT_X37Y18
IOI_RTERM
IOI_RTERM_X88Y21
RIOB
RIOB_X37Y18
IOBS PAD144
IOBM PAD143
EMP_LIOB
EMP_LIOB_X0Y20
IOI_LTERM
IOI_LTERM_X1Y20
INT
INT_X0Y17
TIEOFF TIEOFF_X0Y34
INT_INTERFACE
INT_INTERFACE_X0Y17
MCB_CAP_INT
MCB_CAP_INT_X0Y17
INT
INT_X1Y17
TIEOFF TIEOFF_X2Y34
CLEXL
CLEXL_X1Y17
SLICEL SLICE_X0Y17
SLICEX SLICE_X1Y17
INT
INT_X2Y17
TIEOFF TIEOFF_X4Y34
CLEXM
CLEXM_X2Y17
SLICEM SLICE_X2Y17
SLICEX SLICE_X3Y17
INT_BRAM
INT_BRAM_X3Y17
TIEOFF TIEOFF_X6Y34
INT_INTERFACE
INT_INTERFACE_X3Y17
NULL
NULL_X11Y20
INT
INT_X4Y17
TIEOFF TIEOFF_X7Y34
CLEXL
CLEXL_X4Y17
SLICEL SLICE_X4Y17
SLICEX SLICE_X5Y17
INT
INT_X5Y17
TIEOFF TIEOFF_X9Y34
CLEXM
CLEXM_X5Y17
SLICEM SLICE_X6Y17
SLICEX SLICE_X7Y17
INT
INT_X6Y17
TIEOFF TIEOFF_X11Y34
INT_INTERFACE
INT_INTERFACE_X6Y17
NULL
NULL_X18Y20
INT
INT_X7Y17
TIEOFF TIEOFF_X12Y34
CLEXL
CLEXL_X7Y17
SLICEL SLICE_X8Y17
SLICEX SLICE_X9Y17
INT
INT_X8Y17
TIEOFF TIEOFF_X14Y34
CLEXM
CLEXM_X8Y17
SLICEM SLICE_X10Y17
SLICEX SLICE_X11Y17
INT
INT_X9Y17
TIEOFF TIEOFF_X16Y34
CLEXL
CLEXL_X9Y17
SLICEL SLICE_X12Y17
SLICEX SLICE_X13Y17
INT
INT_X10Y17
TIEOFF TIEOFF_X17Y34
CLEXM
CLEXM_X10Y17
SLICEM SLICE_X14Y17
SLICEX SLICE_X15Y17
INT
INT_X11Y17
TIEOFF TIEOFF_X19Y34
CLEXL
CLEXL_X11Y17
SLICEL SLICE_X16Y17
SLICEX SLICE_X17Y17
INT_BRAM
INT_BRAM_X12Y17
TIEOFF TIEOFF_X21Y34
INT_INTERFACE
INT_INTERFACE_X12Y17
NULL
NULL_X31Y20
INT
INT_X13Y17
TIEOFF TIEOFF_X22Y34
CLEXM
CLEXM_X13Y17
SLICEM SLICE_X18Y17
SLICEX SLICE_X19Y17
INT
INT_X14Y17
TIEOFF TIEOFF_X24Y34
CLEXL
CLEXL_X14Y17
SLICEL SLICE_X20Y17
SLICEX SLICE_X21Y17
INT
INT_X15Y17
TIEOFF TIEOFF_X26Y34
CLEXM
CLEXM_X15Y17
SLICEM SLICE_X22Y17
SLICEX SLICE_X23Y17
INT
INT_X16Y17
TIEOFF TIEOFF_X28Y34
CLEXL
CLEXL_X16Y17
SLICEL SLICE_X24Y17
SLICEX SLICE_X25Y17
INT
INT_X17Y17
TIEOFF TIEOFF_X29Y34
CLEXM
CLEXM_X17Y17
SLICEM SLICE_X26Y17
SLICEX SLICE_X27Y17
INT
INT_X18Y17
TIEOFF TIEOFF_X31Y34
CLEXL
CLEXL_X18Y17
SLICEL SLICE_X28Y17
SLICEX SLICE_X29Y17
NULL
NULL_X44Y20
REG_V
REG_V_X18Y17
INT
INT_X19Y17
TIEOFF TIEOFF_X34Y34
CLEXM
CLEXM_X19Y17
SLICEM SLICE_X30Y17
SLICEX SLICE_X31Y17
INT
INT_X20Y17
TIEOFF TIEOFF_X36Y34
CLEXL
CLEXL_X20Y17
SLICEL SLICE_X32Y17
SLICEX SLICE_X33Y17
INT
INT_X21Y17
TIEOFF TIEOFF_X38Y34
CLEXM
CLEXM_X21Y17
SLICEM SLICE_X34Y17
SLICEX SLICE_X35Y17
INT
INT_X22Y17
TIEOFF TIEOFF_X39Y34
CLEXL
CLEXL_X22Y17
SLICEL SLICE_X36Y17
SLICEX SLICE_X37Y17
INT
INT_X23Y17
TIEOFF TIEOFF_X41Y34
CLEXM
CLEXM_X23Y17
SLICEM SLICE_X38Y17
SLICEX SLICE_X39Y17
INT
INT_X24Y17
TIEOFF TIEOFF_X43Y34
CLEXL
CLEXL_X24Y17
SLICEL SLICE_X40Y17
SLICEX SLICE_X41Y17
INT_BRAM
INT_BRAM_X25Y17
TIEOFF TIEOFF_X45Y34
INT_INTERFACE
INT_INTERFACE_X25Y17
NULL
NULL_X60Y20
INT
INT_X26Y17
TIEOFF TIEOFF_X46Y34
CLEXL
CLEXL_X26Y17
SLICEL SLICE_X42Y17
SLICEX SLICE_X43Y17
INT
INT_X27Y17
TIEOFF TIEOFF_X48Y34
CLEXM
CLEXM_X27Y17
SLICEM SLICE_X44Y17
SLICEX SLICE_X45Y17
INT
INT_X28Y17
TIEOFF TIEOFF_X50Y34
CLEXL
CLEXL_X28Y17
SLICEL SLICE_X46Y17
SLICEX SLICE_X47Y17
INT
INT_X29Y17
TIEOFF TIEOFF_X51Y34
CLEXM
CLEXM_X29Y17
SLICEM SLICE_X48Y17
SLICEX SLICE_X49Y17
INT
INT_X30Y17
TIEOFF TIEOFF_X53Y34
CLEXL
CLEXL_X30Y17
SLICEL SLICE_X50Y17
SLICEX SLICE_X51Y17
INT
INT_X31Y17
TIEOFF TIEOFF_X55Y34
INT_INTERFACE
INT_INTERFACE_X31Y17
NULL
NULL_X73Y20
INT
INT_X32Y17
TIEOFF TIEOFF_X56Y34
CLEXM
CLEXM_X32Y17
SLICEM SLICE_X52Y17
SLICEX SLICE_X53Y17
INT
INT_X33Y17
TIEOFF TIEOFF_X58Y34
CLEXL
CLEXL_X33Y17
SLICEL SLICE_X54Y17
SLICEX SLICE_X55Y17
INT_BRAM
INT_BRAM_X34Y17
TIEOFF TIEOFF_X60Y34
INT_INTERFACE
INT_INTERFACE_X34Y17
NULL
NULL_X80Y20
INT
INT_X35Y17
TIEOFF TIEOFF_X61Y34
CLEXM
CLEXM_X35Y17
SLICEM SLICE_X56Y17
SLICEX SLICE_X57Y17
INT
INT_X36Y17
TIEOFF TIEOFF_X63Y34
CLEXL
CLEXL_X36Y17
SLICEL SLICE_X58Y17
SLICEX SLICE_X59Y17
INT
INT_X37Y17
TIEOFF TIEOFF_X65Y34
INT_INTERFACE
INT_INTERFACE_X37Y17
MCB_CAP_INT
MCB_CAP_INT_X37Y17
IOI_RTERM
IOI_RTERM_X88Y20
EMP_RIOB
EMP_RIOB_X37Y17
LIOB
LIOB_X0Y16
IOBM PAD271
IOBS PAD272
IOI_LTERM
IOI_LTERM_X1Y19
LIOI_INT_BRK
INT_X0Y16
TIEOFF TIEOFF_X0Y32
LIOI_BRK
LIOI_BRK_X0Y16
OLOGIC2 OLOGIC_X0Y16
IODELAY2 IODELAY_X0Y16
ILOGIC2 ILOGIC_X0Y16
OLOGIC2 OLOGIC_X0Y17
IODELAY2 IODELAY_X0Y17
ILOGIC2 ILOGIC_X0Y17
TIEOFF TIEOFF_X1Y32
MCB_CAP_INT
MCB_CAP_INT_X0Y16
INT_BRK
INT_X1Y16
TIEOFF TIEOFF_X2Y32
CLEXL
CLEXL_X1Y16
SLICEL SLICE_X0Y16
SLICEX SLICE_X1Y16
INT_BRK
INT_X2Y16
TIEOFF TIEOFF_X4Y32
CLEXM
CLEXM_X2Y16
SLICEM SLICE_X2Y16
SLICEX SLICE_X3Y16
INT_BRAM_BRK
INT_BRAM_BRK_X3Y16
TIEOFF TIEOFF_X6Y32
INT_INTERFACE
INT_INTERFACE_X3Y16
BRAMSITE2
BRAMSITE2_X3Y16
RAMB16BWER RAMB16_X0Y8
RAMB8BWER RAMB8_X0Y8
RAMB8BWER RAMB8_X0Y9
INT_BRK
INT_X4Y16
TIEOFF TIEOFF_X7Y32
CLEXL
CLEXL_X4Y16
SLICEL SLICE_X4Y16
SLICEX SLICE_X5Y16
INT_BRK
INT_X5Y16
TIEOFF TIEOFF_X9Y32
CLEXM
CLEXM_X5Y16
SLICEM SLICE_X6Y16
SLICEX SLICE_X7Y16
INT_BRK
INT_X6Y16
TIEOFF TIEOFF_X11Y32
INT_INTERFACE
INT_INTERFACE_X6Y16
MACCSITE2
MACCSITE2_X6Y16
DSP48A1 DSP48_X0Y4
INT_BRK
INT_X7Y16
TIEOFF TIEOFF_X12Y32
CLEXL
CLEXL_X7Y16
SLICEL SLICE_X8Y16
SLICEX SLICE_X9Y16
INT_BRK
INT_X8Y16
TIEOFF TIEOFF_X14Y32
CLEXM
CLEXM_X8Y16
SLICEM SLICE_X10Y16
SLICEX SLICE_X11Y16
INT_BRK
INT_X9Y16
TIEOFF TIEOFF_X16Y32
CLEXL
CLEXL_X9Y16
SLICEL SLICE_X12Y16
SLICEX SLICE_X13Y16
INT_BRK
INT_X10Y16
TIEOFF TIEOFF_X17Y32
CLEXM
CLEXM_X10Y16
SLICEM SLICE_X14Y16
SLICEX SLICE_X15Y16
INT_BRK
INT_X11Y16
TIEOFF TIEOFF_X19Y32
CLEXL
CLEXL_X11Y16
SLICEL SLICE_X16Y16
SLICEX SLICE_X17Y16
INT_BRAM_BRK
INT_BRAM_BRK_X12Y16
TIEOFF TIEOFF_X21Y32
INT_INTERFACE
INT_INTERFACE_X12Y16
BRAMSITE2
BRAMSITE2_X12Y16
RAMB16BWER RAMB16_X1Y8
RAMB8BWER RAMB8_X1Y8
RAMB8BWER RAMB8_X1Y9
INT_BRK
INT_X13Y16
TIEOFF TIEOFF_X22Y32
CLEXM
CLEXM_X13Y16
SLICEM SLICE_X18Y16
SLICEX SLICE_X19Y16
INT_BRK
INT_X14Y16
TIEOFF TIEOFF_X24Y32
CLEXL
CLEXL_X14Y16
SLICEL SLICE_X20Y16
SLICEX SLICE_X21Y16
INT_BRK
INT_X15Y16
TIEOFF TIEOFF_X26Y32
CLEXM
CLEXM_X15Y16
SLICEM SLICE_X22Y16
SLICEX SLICE_X23Y16
INT_BRK
INT_X16Y16
TIEOFF TIEOFF_X28Y32
CLEXL
CLEXL_X16Y16
SLICEL SLICE_X24Y16
SLICEX SLICE_X25Y16
INT_BRK
INT_X17Y16
TIEOFF TIEOFF_X29Y32
CLEXM
CLEXM_X17Y16
SLICEM SLICE_X26Y16
SLICEX SLICE_X27Y16
INT_BRK
INT_X18Y16
TIEOFF TIEOFF_X31Y32
CLEXL
CLEXL_X18Y16
SLICEL SLICE_X28Y16
SLICEX SLICE_X29Y16
NULL
NULL_X44Y19
REG_V_BRK
REG_V_BRK_X18Y16
INT_BRK
INT_X19Y16
TIEOFF TIEOFF_X34Y32
CLEXM
CLEXM_X19Y16
SLICEM SLICE_X30Y16
SLICEX SLICE_X31Y16
INT_BRK
INT_X20Y16
TIEOFF TIEOFF_X36Y32
CLEXL
CLEXL_X20Y16
SLICEL SLICE_X32Y16
SLICEX SLICE_X33Y16
INT_BRK
INT_X21Y16
TIEOFF TIEOFF_X38Y32
CLEXM
CLEXM_X21Y16
SLICEM SLICE_X34Y16
SLICEX SLICE_X35Y16
INT_BRK
INT_X22Y16
TIEOFF TIEOFF_X39Y32
CLEXL
CLEXL_X22Y16
SLICEL SLICE_X36Y16
SLICEX SLICE_X37Y16
INT_BRK
INT_X23Y16
TIEOFF TIEOFF_X41Y32
CLEXM
CLEXM_X23Y16
SLICEM SLICE_X38Y16
SLICEX SLICE_X39Y16
INT_BRK
INT_X24Y16
TIEOFF TIEOFF_X43Y32
CLEXL
CLEXL_X24Y16
SLICEL SLICE_X40Y16
SLICEX SLICE_X41Y16
INT_BRAM_BRK
INT_BRAM_BRK_X25Y16
TIEOFF TIEOFF_X45Y32
INT_INTERFACE
INT_INTERFACE_X25Y16
BRAMSITE2
BRAMSITE2_X25Y16
RAMB16BWER RAMB16_X2Y8
RAMB8BWER RAMB8_X2Y8
RAMB8BWER RAMB8_X2Y9
INT_BRK
INT_X26Y16
TIEOFF TIEOFF_X46Y32
CLEXL
CLEXL_X26Y16
SLICEL SLICE_X42Y16
SLICEX SLICE_X43Y16
INT_BRK
INT_X27Y16
TIEOFF TIEOFF_X48Y32
CLEXM
CLEXM_X27Y16
SLICEM SLICE_X44Y16
SLICEX SLICE_X45Y16
INT_BRK
INT_X28Y16
TIEOFF TIEOFF_X50Y32
CLEXL
CLEXL_X28Y16
SLICEL SLICE_X46Y16
SLICEX SLICE_X47Y16
INT_BRK
INT_X29Y16
TIEOFF TIEOFF_X51Y32
CLEXM
CLEXM_X29Y16
SLICEM SLICE_X48Y16
SLICEX SLICE_X49Y16
INT_BRK
INT_X30Y16
TIEOFF TIEOFF_X53Y32
CLEXL
CLEXL_X30Y16
SLICEL SLICE_X50Y16
SLICEX SLICE_X51Y16
INT_BRK
INT_X31Y16
TIEOFF TIEOFF_X55Y32
INT_INTERFACE
INT_INTERFACE_X31Y16
MACCSITE2
MACCSITE2_X31Y16
DSP48A1 DSP48_X1Y4
INT_BRK
INT_X32Y16
TIEOFF TIEOFF_X56Y32
CLEXM
CLEXM_X32Y16
SLICEM SLICE_X52Y16
SLICEX SLICE_X53Y16
INT_BRK
INT_X33Y16
TIEOFF TIEOFF_X58Y32
CLEXL
CLEXL_X33Y16
SLICEL SLICE_X54Y16
SLICEX SLICE_X55Y16
INT_BRAM_BRK
INT_BRAM_BRK_X34Y16
TIEOFF TIEOFF_X60Y32
INT_INTERFACE
INT_INTERFACE_X34Y16
BRAMSITE2
BRAMSITE2_X34Y16
RAMB16BWER RAMB16_X3Y8
RAMB8BWER RAMB8_X3Y8
RAMB8BWER RAMB8_X3Y9
INT_BRK
INT_X35Y16
TIEOFF TIEOFF_X61Y32
CLEXM
CLEXM_X35Y16
SLICEM SLICE_X56Y16
SLICEX SLICE_X57Y16
INT_BRK
INT_X36Y16
TIEOFF TIEOFF_X63Y32
CLEXL
CLEXL_X36Y16
SLICEL SLICE_X58Y16
SLICEX SLICE_X59Y16
LIOI_INT_BRK
INT_X37Y16
TIEOFF TIEOFF_X65Y32
RIOI_BRK
RIOI_BRK_X37Y16
OLOGIC2 OLOGIC_X27Y16
IODELAY2 IODELAY_X27Y16
ILOGIC2 ILOGIC_X27Y16
OLOGIC2 OLOGIC_X27Y17
IODELAY2 IODELAY_X27Y17
ILOGIC2 ILOGIC_X27Y17
TIEOFF TIEOFF_X66Y32
MCB_CAP_INT
MCB_CAP_INT_X37Y16
IOI_RTERM
IOI_RTERM_X88Y19
RIOB
RIOB_X37Y16
IOBS PAD146
IOBM PAD145
EMP_LIOB
EMP_LIOB_X0Y18
IOI_LTERM
IOI_LTERM_X1Y18
INT
INT_X0Y15
TIEOFF TIEOFF_X0Y30
INT_INTERFACE
INT_INTERFACE_X0Y15
MCB_CAP_INT
MCB_CAP_INT_X0Y15
INT
INT_X1Y15
TIEOFF TIEOFF_X2Y30
CLEXL
CLEXL_X1Y15
SLICEL SLICE_X0Y15
SLICEX SLICE_X1Y15
INT
INT_X2Y15
TIEOFF TIEOFF_X4Y30
CLEXM
CLEXM_X2Y15
SLICEM SLICE_X2Y15
SLICEX SLICE_X3Y15
INT_BRAM
INT_BRAM_X3Y15
TIEOFF TIEOFF_X6Y30
INT_INTERFACE
INT_INTERFACE_X3Y15
NULL
NULL_X11Y18
INT
INT_X4Y15
TIEOFF TIEOFF_X7Y30
CLEXL
CLEXL_X4Y15
SLICEL SLICE_X4Y15
SLICEX SLICE_X5Y15
INT
INT_X5Y15
TIEOFF TIEOFF_X9Y30
CLEXM
CLEXM_X5Y15
SLICEM SLICE_X6Y15
SLICEX SLICE_X7Y15
INT
INT_X6Y15
TIEOFF TIEOFF_X11Y30
INT_INTERFACE
INT_INTERFACE_X6Y15
NULL
NULL_X18Y18
INT
INT_X7Y15
TIEOFF TIEOFF_X12Y30
CLEXL
CLEXL_X7Y15
SLICEL SLICE_X8Y15
SLICEX SLICE_X9Y15
INT
INT_X8Y15
TIEOFF TIEOFF_X14Y30
CLEXM
CLEXM_X8Y15
SLICEM SLICE_X10Y15
SLICEX SLICE_X11Y15
INT
INT_X9Y15
TIEOFF TIEOFF_X16Y30
CLEXL
CLEXL_X9Y15
SLICEL SLICE_X12Y15
SLICEX SLICE_X13Y15
INT
INT_X10Y15
TIEOFF TIEOFF_X17Y30
CLEXM
CLEXM_X10Y15
SLICEM SLICE_X14Y15
SLICEX SLICE_X15Y15
INT
INT_X11Y15
TIEOFF TIEOFF_X19Y30
CLEXL
CLEXL_X11Y15
SLICEL SLICE_X16Y15
SLICEX SLICE_X17Y15
INT_BRAM
INT_BRAM_X12Y15
TIEOFF TIEOFF_X21Y30
INT_INTERFACE
INT_INTERFACE_X12Y15
NULL
NULL_X31Y18
INT
INT_X13Y15
TIEOFF TIEOFF_X22Y30
CLEXM
CLEXM_X13Y15
SLICEM SLICE_X18Y15
SLICEX SLICE_X19Y15
INT
INT_X14Y15
TIEOFF TIEOFF_X24Y30
CLEXL
CLEXL_X14Y15
SLICEL SLICE_X20Y15
SLICEX SLICE_X21Y15
INT
INT_X15Y15
TIEOFF TIEOFF_X26Y30
CLEXM
CLEXM_X15Y15
SLICEM SLICE_X22Y15
SLICEX SLICE_X23Y15
INT
INT_X16Y15
TIEOFF TIEOFF_X28Y30
CLEXL
CLEXL_X16Y15
SLICEL SLICE_X24Y15
SLICEX SLICE_X25Y15
INT
INT_X17Y15
TIEOFF TIEOFF_X29Y30
CLEXM
CLEXM_X17Y15
SLICEM SLICE_X26Y15
SLICEX SLICE_X27Y15
INT
INT_X18Y15
TIEOFF TIEOFF_X31Y30
CLEXL
CLEXL_X18Y15
SLICEL SLICE_X28Y15
SLICEX SLICE_X29Y15
NULL
NULL_X44Y18
REG_V
REG_V_X18Y15
INT
INT_X19Y15
TIEOFF TIEOFF_X34Y30
CLEXM
CLEXM_X19Y15
SLICEM SLICE_X30Y15
SLICEX SLICE_X31Y15
INT
INT_X20Y15
TIEOFF TIEOFF_X36Y30
CLEXL
CLEXL_X20Y15
SLICEL SLICE_X32Y15
SLICEX SLICE_X33Y15
INT
INT_X21Y15
TIEOFF TIEOFF_X38Y30
CLEXM
CLEXM_X21Y15
SLICEM SLICE_X34Y15
SLICEX SLICE_X35Y15
INT
INT_X22Y15
TIEOFF TIEOFF_X39Y30
CLEXL
CLEXL_X22Y15
SLICEL SLICE_X36Y15
SLICEX SLICE_X37Y15
INT
INT_X23Y15
TIEOFF TIEOFF_X41Y30
CLEXM
CLEXM_X23Y15
SLICEM SLICE_X38Y15
SLICEX SLICE_X39Y15
INT
INT_X24Y15
TIEOFF TIEOFF_X43Y30
CLEXL
CLEXL_X24Y15
SLICEL SLICE_X40Y15
SLICEX SLICE_X41Y15
INT_BRAM
INT_BRAM_X25Y15
TIEOFF TIEOFF_X45Y30
INT_INTERFACE
INT_INTERFACE_X25Y15
NULL
NULL_X60Y18
INT
INT_X26Y15
TIEOFF TIEOFF_X46Y30
CLEXL
CLEXL_X26Y15
SLICEL SLICE_X42Y15
SLICEX SLICE_X43Y15
INT
INT_X27Y15
TIEOFF TIEOFF_X48Y30
CLEXM
CLEXM_X27Y15
SLICEM SLICE_X44Y15
SLICEX SLICE_X45Y15
INT
INT_X28Y15
TIEOFF TIEOFF_X50Y30
CLEXL
CLEXL_X28Y15
SLICEL SLICE_X46Y15
SLICEX SLICE_X47Y15
INT
INT_X29Y15
TIEOFF TIEOFF_X51Y30
CLEXM
CLEXM_X29Y15
SLICEM SLICE_X48Y15
SLICEX SLICE_X49Y15
INT
INT_X30Y15
TIEOFF TIEOFF_X53Y30
CLEXL
CLEXL_X30Y15
SLICEL SLICE_X50Y15
SLICEX SLICE_X51Y15
INT
INT_X31Y15
TIEOFF TIEOFF_X55Y30
INT_INTERFACE
INT_INTERFACE_X31Y15
NULL
NULL_X73Y18
INT
INT_X32Y15
TIEOFF TIEOFF_X56Y30
CLEXM
CLEXM_X32Y15
SLICEM SLICE_X52Y15
SLICEX SLICE_X53Y15
INT
INT_X33Y15
TIEOFF TIEOFF_X58Y30
CLEXL
CLEXL_X33Y15
SLICEL SLICE_X54Y15
SLICEX SLICE_X55Y15
INT_BRAM
INT_BRAM_X34Y15
TIEOFF TIEOFF_X60Y30
INT_INTERFACE
INT_INTERFACE_X34Y15
NULL
NULL_X80Y18
INT
INT_X35Y15
TIEOFF TIEOFF_X61Y30
CLEXM
CLEXM_X35Y15
SLICEM SLICE_X56Y15
SLICEX SLICE_X57Y15
INT
INT_X36Y15
TIEOFF TIEOFF_X63Y30
CLEXL
CLEXL_X36Y15
SLICEL SLICE_X58Y15
SLICEX SLICE_X59Y15
INT
INT_X37Y15
TIEOFF TIEOFF_X65Y30
INT_INTERFACE
INT_INTERFACE_X37Y15
MCB_CAP_INT
MCB_CAP_INT_X37Y15
IOI_RTERM
IOI_RTERM_X88Y18
EMP_RIOB
EMP_RIOB_X37Y15
LIOB
LIOB_X0Y14
IOBM AA2
IOBS AA1
IOI_LTERM
IOI_LTERM_X1Y17
LIOI_INT
LIOI_INT_X0Y14
TIEOFF TIEOFF_X0Y28
LIOI
LIOI_X0Y14
OLOGIC2 OLOGIC_X0Y14
IODELAY2 IODELAY_X0Y14
ILOGIC2 ILOGIC_X0Y14
OLOGIC2 OLOGIC_X0Y15
IODELAY2 IODELAY_X0Y15
ILOGIC2 ILOGIC_X0Y15
TIEOFF TIEOFF_X1Y28
MCB_CAP_INT
MCB_CAP_INT_X0Y14
INT
INT_X1Y14
TIEOFF TIEOFF_X2Y28
CLEXL
CLEXL_X1Y14
SLICEL SLICE_X0Y14
SLICEX SLICE_X1Y14
INT
INT_X2Y14
TIEOFF TIEOFF_X4Y28
CLEXM
CLEXM_X2Y14
SLICEM SLICE_X2Y14
SLICEX SLICE_X3Y14
INT_BRAM
INT_BRAM_X3Y14
TIEOFF TIEOFF_X6Y28
INT_INTERFACE
INT_INTERFACE_X3Y14
NULL
NULL_X11Y17
INT
INT_X4Y14
TIEOFF TIEOFF_X7Y28
CLEXL
CLEXL_X4Y14
SLICEL SLICE_X4Y14
SLICEX SLICE_X5Y14
INT
INT_X5Y14
TIEOFF TIEOFF_X9Y28
CLEXM
CLEXM_X5Y14
SLICEM SLICE_X6Y14
SLICEX SLICE_X7Y14
INT
INT_X6Y14
TIEOFF TIEOFF_X11Y28
INT_INTERFACE
INT_INTERFACE_X6Y14
NULL
NULL_X18Y17
INT
INT_X7Y14
TIEOFF TIEOFF_X12Y28
CLEXL
CLEXL_X7Y14
SLICEL SLICE_X8Y14
SLICEX SLICE_X9Y14
INT
INT_X8Y14
TIEOFF TIEOFF_X14Y28
CLEXM
CLEXM_X8Y14
SLICEM SLICE_X10Y14
SLICEX SLICE_X11Y14
INT
INT_X9Y14
TIEOFF TIEOFF_X16Y28
CLEXL
CLEXL_X9Y14
SLICEL SLICE_X12Y14
SLICEX SLICE_X13Y14
INT
INT_X10Y14
TIEOFF TIEOFF_X17Y28
CLEXM
CLEXM_X10Y14
SLICEM SLICE_X14Y14
SLICEX SLICE_X15Y14
INT
INT_X11Y14
TIEOFF TIEOFF_X19Y28
CLEXL
CLEXL_X11Y14
SLICEL SLICE_X16Y14
SLICEX SLICE_X17Y14
INT_BRAM
INT_BRAM_X12Y14
TIEOFF TIEOFF_X21Y28
INT_INTERFACE
INT_INTERFACE_X12Y14
NULL
NULL_X31Y17
INT
INT_X13Y14
TIEOFF TIEOFF_X22Y28
CLEXM
CLEXM_X13Y14
SLICEM SLICE_X18Y14
SLICEX SLICE_X19Y14
INT
INT_X14Y14
TIEOFF TIEOFF_X24Y28
CLEXL
CLEXL_X14Y14
SLICEL SLICE_X20Y14
SLICEX SLICE_X21Y14
INT
INT_X15Y14
TIEOFF TIEOFF_X26Y28
CLEXM
CLEXM_X15Y14
SLICEM SLICE_X22Y14
SLICEX SLICE_X23Y14
INT
INT_X16Y14
TIEOFF TIEOFF_X28Y28
CLEXL
CLEXL_X16Y14
SLICEL SLICE_X24Y14
SLICEX SLICE_X25Y14
INT
INT_X17Y14
TIEOFF TIEOFF_X29Y28
CLEXM
CLEXM_X17Y14
SLICEM SLICE_X26Y14
SLICEX SLICE_X27Y14
INT
INT_X18Y14
TIEOFF TIEOFF_X31Y28
CLEXL
CLEXL_X18Y14
SLICEL SLICE_X28Y14
SLICEX SLICE_X29Y14
NULL
NULL_X44Y17
REG_V
REG_V_X18Y14
INT
INT_X19Y14
TIEOFF TIEOFF_X34Y28
CLEXM
CLEXM_X19Y14
SLICEM SLICE_X30Y14
SLICEX SLICE_X31Y14
INT
INT_X20Y14
TIEOFF TIEOFF_X36Y28
CLEXL
CLEXL_X20Y14
SLICEL SLICE_X32Y14
SLICEX SLICE_X33Y14
INT
INT_X21Y14
TIEOFF TIEOFF_X38Y28
CLEXM
CLEXM_X21Y14
SLICEM SLICE_X34Y14
SLICEX SLICE_X35Y14
INT
INT_X22Y14
TIEOFF TIEOFF_X39Y28
CLEXL
CLEXL_X22Y14
SLICEL SLICE_X36Y14
SLICEX SLICE_X37Y14
INT
INT_X23Y14
TIEOFF TIEOFF_X41Y28
CLEXM
CLEXM_X23Y14
SLICEM SLICE_X38Y14
SLICEX SLICE_X39Y14
INT
INT_X24Y14
TIEOFF TIEOFF_X43Y28
CLEXL
CLEXL_X24Y14
SLICEL SLICE_X40Y14
SLICEX SLICE_X41Y14
INT_BRAM
INT_BRAM_X25Y14
TIEOFF TIEOFF_X45Y28
INT_INTERFACE
INT_INTERFACE_X25Y14
NULL
NULL_X60Y17
INT
INT_X26Y14
TIEOFF TIEOFF_X46Y28
CLEXL
CLEXL_X26Y14
SLICEL SLICE_X42Y14
SLICEX SLICE_X43Y14
INT
INT_X27Y14
TIEOFF TIEOFF_X48Y28
CLEXM
CLEXM_X27Y14
SLICEM SLICE_X44Y14
SLICEX SLICE_X45Y14
INT
INT_X28Y14
TIEOFF TIEOFF_X50Y28
CLEXL
CLEXL_X28Y14
SLICEL SLICE_X46Y14
SLICEX SLICE_X47Y14
INT
INT_X29Y14
TIEOFF TIEOFF_X51Y28
CLEXM
CLEXM_X29Y14
SLICEM SLICE_X48Y14
SLICEX SLICE_X49Y14
INT
INT_X30Y14
TIEOFF TIEOFF_X53Y28
CLEXL
CLEXL_X30Y14
SLICEL SLICE_X50Y14
SLICEX SLICE_X51Y14
INT
INT_X31Y14
TIEOFF TIEOFF_X55Y28
INT_INTERFACE
INT_INTERFACE_X31Y14
NULL
NULL_X73Y17
INT
INT_X32Y14
TIEOFF TIEOFF_X56Y28
CLEXM
CLEXM_X32Y14
SLICEM SLICE_X52Y14
SLICEX SLICE_X53Y14
INT
INT_X33Y14
TIEOFF TIEOFF_X58Y28
CLEXL
CLEXL_X33Y14
SLICEL SLICE_X54Y14
SLICEX SLICE_X55Y14
INT_BRAM
INT_BRAM_X34Y14
TIEOFF TIEOFF_X60Y28
INT_INTERFACE
INT_INTERFACE_X34Y14
NULL
NULL_X80Y17
INT
INT_X35Y14
TIEOFF TIEOFF_X61Y28
CLEXM
CLEXM_X35Y14
SLICEM SLICE_X56Y14
SLICEX SLICE_X57Y14
INT
INT_X36Y14
TIEOFF TIEOFF_X63Y28
CLEXL
CLEXL_X36Y14
SLICEL SLICE_X58Y14
SLICEX SLICE_X59Y14
IOI_INT
IOI_INT_X37Y14
TIEOFF TIEOFF_X65Y28
RIOI
RIOI_X37Y14
OLOGIC2 OLOGIC_X27Y14
IODELAY2 IODELAY_X27Y14
ILOGIC2 ILOGIC_X27Y14
OLOGIC2 OLOGIC_X27Y15
IODELAY2 IODELAY_X27Y15
ILOGIC2 ILOGIC_X27Y15
TIEOFF TIEOFF_X66Y28
MCB_CAP_INT
MCB_CAP_INT_X37Y14
IOI_RTERM
IOI_RTERM_X88Y17
RIOB
RIOB_X37Y14
IOBS PAD148
IOBM PAD147
EMP_LIOB
EMP_LIOB_X0Y16
IOI_LTERM
IOI_LTERM_X1Y16
INT
INT_X0Y13
TIEOFF TIEOFF_X0Y26
INT_INTERFACE
INT_INTERFACE_X0Y13
MCB_CAP_INT
MCB_CAP_INT_X0Y13
INT
INT_X1Y13
TIEOFF TIEOFF_X2Y26
CLEXL
CLEXL_X1Y13
SLICEL SLICE_X0Y13
SLICEX SLICE_X1Y13
INT
INT_X2Y13
TIEOFF TIEOFF_X4Y26
CLEXM
CLEXM_X2Y13
SLICEM SLICE_X2Y13
SLICEX SLICE_X3Y13
INT_BRAM
INT_BRAM_X3Y13
TIEOFF TIEOFF_X6Y26
INT_INTERFACE
INT_INTERFACE_X3Y13
NULL
NULL_X11Y16
INT
INT_X4Y13
TIEOFF TIEOFF_X7Y26
CLEXL
CLEXL_X4Y13
SLICEL SLICE_X4Y13
SLICEX SLICE_X5Y13
INT
INT_X5Y13
TIEOFF TIEOFF_X9Y26
CLEXM
CLEXM_X5Y13
SLICEM SLICE_X6Y13
SLICEX SLICE_X7Y13
INT
INT_X6Y13
TIEOFF TIEOFF_X11Y26
INT_INTERFACE
INT_INTERFACE_X6Y13
NULL
NULL_X18Y16
INT
INT_X7Y13
TIEOFF TIEOFF_X12Y26
CLEXL
CLEXL_X7Y13
SLICEL SLICE_X8Y13
SLICEX SLICE_X9Y13
INT
INT_X8Y13
TIEOFF TIEOFF_X14Y26
CLEXM
CLEXM_X8Y13
SLICEM SLICE_X10Y13
SLICEX SLICE_X11Y13
INT
INT_X9Y13
TIEOFF TIEOFF_X16Y26
CLEXL
CLEXL_X9Y13
SLICEL SLICE_X12Y13
SLICEX SLICE_X13Y13
INT
INT_X10Y13
TIEOFF TIEOFF_X17Y26
CLEXM
CLEXM_X10Y13
SLICEM SLICE_X14Y13
SLICEX SLICE_X15Y13
INT
INT_X11Y13
TIEOFF TIEOFF_X19Y26
CLEXL
CLEXL_X11Y13
SLICEL SLICE_X16Y13
SLICEX SLICE_X17Y13
INT_BRAM
INT_BRAM_X12Y13
TIEOFF TIEOFF_X21Y26
INT_INTERFACE
INT_INTERFACE_X12Y13
NULL
NULL_X31Y16
INT
INT_X13Y13
TIEOFF TIEOFF_X22Y26
CLEXM
CLEXM_X13Y13
SLICEM SLICE_X18Y13
SLICEX SLICE_X19Y13
INT
INT_X14Y13
TIEOFF TIEOFF_X24Y26
CLEXL
CLEXL_X14Y13
SLICEL SLICE_X20Y13
SLICEX SLICE_X21Y13
INT
INT_X15Y13
TIEOFF TIEOFF_X26Y26
CLEXM
CLEXM_X15Y13
SLICEM SLICE_X22Y13
SLICEX SLICE_X23Y13
INT
INT_X16Y13
TIEOFF TIEOFF_X28Y26
CLEXL
CLEXL_X16Y13
SLICEL SLICE_X24Y13
SLICEX SLICE_X25Y13
INT
INT_X17Y13
TIEOFF TIEOFF_X29Y26
CLEXM
CLEXM_X17Y13
SLICEM SLICE_X26Y13
SLICEX SLICE_X27Y13
INT
INT_X18Y13
TIEOFF TIEOFF_X31Y26
CLEXL
CLEXL_X18Y13
SLICEL SLICE_X28Y13
SLICEX SLICE_X29Y13
NULL
NULL_X44Y16
REG_V
REG_V_X18Y13
INT
INT_X19Y13
TIEOFF TIEOFF_X34Y26
CLEXM
CLEXM_X19Y13
SLICEM SLICE_X30Y13
SLICEX SLICE_X31Y13
INT
INT_X20Y13
TIEOFF TIEOFF_X36Y26
CLEXL
CLEXL_X20Y13
SLICEL SLICE_X32Y13
SLICEX SLICE_X33Y13
INT
INT_X21Y13
TIEOFF TIEOFF_X38Y26
CLEXM
CLEXM_X21Y13
SLICEM SLICE_X34Y13
SLICEX SLICE_X35Y13
INT
INT_X22Y13
TIEOFF TIEOFF_X39Y26
CLEXL
CLEXL_X22Y13
SLICEL SLICE_X36Y13
SLICEX SLICE_X37Y13
INT
INT_X23Y13
TIEOFF TIEOFF_X41Y26
CLEXM
CLEXM_X23Y13
SLICEM SLICE_X38Y13
SLICEX SLICE_X39Y13
INT
INT_X24Y13
TIEOFF TIEOFF_X43Y26
CLEXL
CLEXL_X24Y13
SLICEL SLICE_X40Y13
SLICEX SLICE_X41Y13
INT_BRAM
INT_BRAM_X25Y13
TIEOFF TIEOFF_X45Y26
INT_INTERFACE
INT_INTERFACE_X25Y13
NULL
NULL_X60Y16
INT
INT_X26Y13
TIEOFF TIEOFF_X46Y26
CLEXL
CLEXL_X26Y13
SLICEL SLICE_X42Y13
SLICEX SLICE_X43Y13
INT
INT_X27Y13
TIEOFF TIEOFF_X48Y26
CLEXM
CLEXM_X27Y13
SLICEM SLICE_X44Y13
SLICEX SLICE_X45Y13
INT
INT_X28Y13
TIEOFF TIEOFF_X50Y26
CLEXL
CLEXL_X28Y13
SLICEL SLICE_X46Y13
SLICEX SLICE_X47Y13
INT
INT_X29Y13
TIEOFF TIEOFF_X51Y26
CLEXM
CLEXM_X29Y13
SLICEM SLICE_X48Y13
SLICEX SLICE_X49Y13
INT
INT_X30Y13
TIEOFF TIEOFF_X53Y26
CLEXL
CLEXL_X30Y13
SLICEL SLICE_X50Y13
SLICEX SLICE_X51Y13
INT
INT_X31Y13
TIEOFF TIEOFF_X55Y26
INT_INTERFACE
INT_INTERFACE_X31Y13
NULL
NULL_X73Y16
INT
INT_X32Y13
TIEOFF TIEOFF_X56Y26
CLEXM
CLEXM_X32Y13
SLICEM SLICE_X52Y13
SLICEX SLICE_X53Y13
INT
INT_X33Y13
TIEOFF TIEOFF_X58Y26
CLEXL
CLEXL_X33Y13
SLICEL SLICE_X54Y13
SLICEX SLICE_X55Y13
INT_BRAM
INT_BRAM_X34Y13
TIEOFF TIEOFF_X60Y26
INT_INTERFACE
INT_INTERFACE_X34Y13
NULL
NULL_X80Y16
INT
INT_X35Y13
TIEOFF TIEOFF_X61Y26
CLEXM
CLEXM_X35Y13
SLICEM SLICE_X56Y13
SLICEX SLICE_X57Y13
INT
INT_X36Y13
TIEOFF TIEOFF_X63Y26
CLEXL
CLEXL_X36Y13
SLICEL SLICE_X58Y13
SLICEX SLICE_X59Y13
INT
INT_X37Y13
TIEOFF TIEOFF_X65Y26
INT_INTERFACE
INT_INTERFACE_X37Y13
MCB_CAP_INT
MCB_CAP_INT_X37Y13
IOI_RTERM
IOI_RTERM_X88Y16
EMP_RIOB
EMP_RIOB_X37Y13
EMP_LIOB
EMP_LIOB_X0Y15
IOI_LTERM
IOI_LTERM_X1Y15
INT
INT_X0Y12
TIEOFF TIEOFF_X0Y24
INT_INTERFACE
INT_INTERFACE_X0Y12
MCB_CAP_INT
MCB_CAP_INT_X0Y12
INT
INT_X1Y12
TIEOFF TIEOFF_X2Y24
CLEXL
CLEXL_X1Y12
SLICEL SLICE_X0Y12
SLICEX SLICE_X1Y12
INT
INT_X2Y12
TIEOFF TIEOFF_X4Y24
CLEXM
CLEXM_X2Y12
SLICEM SLICE_X2Y12
SLICEX SLICE_X3Y12
INT_BRAM
INT_BRAM_X3Y12
TIEOFF TIEOFF_X6Y24
INT_INTERFACE
INT_INTERFACE_X3Y12
BRAMSITE2
BRAMSITE2_X3Y12
RAMB16BWER RAMB16_X0Y6
RAMB8BWER RAMB8_X0Y6
RAMB8BWER RAMB8_X0Y7
INT
INT_X4Y12
TIEOFF TIEOFF_X7Y24
CLEXL
CLEXL_X4Y12
SLICEL SLICE_X4Y12
SLICEX SLICE_X5Y12
INT
INT_X5Y12
TIEOFF TIEOFF_X9Y24
CLEXM
CLEXM_X5Y12
SLICEM SLICE_X6Y12
SLICEX SLICE_X7Y12
INT
INT_X6Y12
TIEOFF TIEOFF_X11Y24
INT_INTERFACE
INT_INTERFACE_X6Y12
MACCSITE2
MACCSITE2_X6Y12
DSP48A1 DSP48_X0Y3
INT
INT_X7Y12
TIEOFF TIEOFF_X12Y24
CLEXL
CLEXL_X7Y12
SLICEL SLICE_X8Y12
SLICEX SLICE_X9Y12
INT
INT_X8Y12
TIEOFF TIEOFF_X14Y24
CLEXM
CLEXM_X8Y12
SLICEM SLICE_X10Y12
SLICEX SLICE_X11Y12
INT
INT_X9Y12
TIEOFF TIEOFF_X16Y24
CLEXL
CLEXL_X9Y12
SLICEL SLICE_X12Y12
SLICEX SLICE_X13Y12
INT
INT_X10Y12
TIEOFF TIEOFF_X17Y24
CLEXM
CLEXM_X10Y12
SLICEM SLICE_X14Y12
SLICEX SLICE_X15Y12
INT
INT_X11Y12
TIEOFF TIEOFF_X19Y24
CLEXL
CLEXL_X11Y12
SLICEL SLICE_X16Y12
SLICEX SLICE_X17Y12
INT_BRAM
INT_BRAM_X12Y12
TIEOFF TIEOFF_X21Y24
INT_INTERFACE
INT_INTERFACE_X12Y12
BRAMSITE2
BRAMSITE2_X12Y12
RAMB16BWER RAMB16_X1Y6
RAMB8BWER RAMB8_X1Y6
RAMB8BWER RAMB8_X1Y7
INT
INT_X13Y12
TIEOFF TIEOFF_X22Y24
CLEXM
CLEXM_X13Y12
SLICEM SLICE_X18Y12
SLICEX SLICE_X19Y12
INT
INT_X14Y12
TIEOFF TIEOFF_X24Y24
CLEXL
CLEXL_X14Y12
SLICEL SLICE_X20Y12
SLICEX SLICE_X21Y12
INT
INT_X15Y12
TIEOFF TIEOFF_X26Y24
CLEXM
CLEXM_X15Y12
SLICEM SLICE_X22Y12
SLICEX SLICE_X23Y12
INT
INT_X16Y12
TIEOFF TIEOFF_X28Y24
CLEXL
CLEXL_X16Y12
SLICEL SLICE_X24Y12
SLICEX SLICE_X25Y12
INT
INT_X17Y12
TIEOFF TIEOFF_X29Y24
CLEXM
CLEXM_X17Y12
SLICEM SLICE_X26Y12
SLICEX SLICE_X27Y12
INT
INT_X18Y12
TIEOFF TIEOFF_X31Y24
CLEXL
CLEXL_X18Y12
SLICEL SLICE_X28Y12
SLICEX SLICE_X29Y12
NULL
NULL_X44Y15
REG_V
REG_V_X18Y12
INT
INT_X19Y12
TIEOFF TIEOFF_X34Y24
CLEXM
CLEXM_X19Y12
SLICEM SLICE_X30Y12
SLICEX SLICE_X31Y12
INT
INT_X20Y12
TIEOFF TIEOFF_X36Y24
CLEXL
CLEXL_X20Y12
SLICEL SLICE_X32Y12
SLICEX SLICE_X33Y12
INT
INT_X21Y12
TIEOFF TIEOFF_X38Y24
CLEXM
CLEXM_X21Y12
SLICEM SLICE_X34Y12
SLICEX SLICE_X35Y12
INT
INT_X22Y12
TIEOFF TIEOFF_X39Y24
CLEXL
CLEXL_X22Y12
SLICEL SLICE_X36Y12
SLICEX SLICE_X37Y12
INT
INT_X23Y12
TIEOFF TIEOFF_X41Y24
CLEXM
CLEXM_X23Y12
SLICEM SLICE_X38Y12
SLICEX SLICE_X39Y12
INT
INT_X24Y12
TIEOFF TIEOFF_X43Y24
CLEXL
CLEXL_X24Y12
SLICEL SLICE_X40Y12
SLICEX SLICE_X41Y12
INT_BRAM
INT_BRAM_X25Y12
TIEOFF TIEOFF_X45Y24
INT_INTERFACE
INT_INTERFACE_X25Y12
BRAMSITE2
BRAMSITE2_X25Y12
RAMB16BWER RAMB16_X2Y6
RAMB8BWER RAMB8_X2Y6
RAMB8BWER RAMB8_X2Y7
INT
INT_X26Y12
TIEOFF TIEOFF_X46Y24
CLEXL
CLEXL_X26Y12
SLICEL SLICE_X42Y12
SLICEX SLICE_X43Y12
INT
INT_X27Y12
TIEOFF TIEOFF_X48Y24
CLEXM
CLEXM_X27Y12
SLICEM SLICE_X44Y12
SLICEX SLICE_X45Y12
INT
INT_X28Y12
TIEOFF TIEOFF_X50Y24
CLEXL
CLEXL_X28Y12
SLICEL SLICE_X46Y12
SLICEX SLICE_X47Y12
INT
INT_X29Y12
TIEOFF TIEOFF_X51Y24
CLEXM
CLEXM_X29Y12
SLICEM SLICE_X48Y12
SLICEX SLICE_X49Y12
INT
INT_X30Y12
TIEOFF TIEOFF_X53Y24
CLEXL
CLEXL_X30Y12
SLICEL SLICE_X50Y12
SLICEX SLICE_X51Y12
INT
INT_X31Y12
TIEOFF TIEOFF_X55Y24
INT_INTERFACE
INT_INTERFACE_X31Y12
MACCSITE2
MACCSITE2_X31Y12
DSP48A1 DSP48_X1Y3
INT
INT_X32Y12
TIEOFF TIEOFF_X56Y24
CLEXM
CLEXM_X32Y12
SLICEM SLICE_X52Y12
SLICEX SLICE_X53Y12
INT
INT_X33Y12
TIEOFF TIEOFF_X58Y24
CLEXL
CLEXL_X33Y12
SLICEL SLICE_X54Y12
SLICEX SLICE_X55Y12
INT_BRAM
INT_BRAM_X34Y12
TIEOFF TIEOFF_X60Y24
INT_INTERFACE
INT_INTERFACE_X34Y12
BRAMSITE2
BRAMSITE2_X34Y12
RAMB16BWER RAMB16_X3Y6
RAMB8BWER RAMB8_X3Y6
RAMB8BWER RAMB8_X3Y7
INT
INT_X35Y12
TIEOFF TIEOFF_X61Y24
CLEXM
CLEXM_X35Y12
SLICEM SLICE_X56Y12
SLICEX SLICE_X57Y12
INT
INT_X36Y12
TIEOFF TIEOFF_X63Y24
CLEXL
CLEXL_X36Y12
SLICEL SLICE_X58Y12
SLICEX SLICE_X59Y12
INT
INT_X37Y12
TIEOFF TIEOFF_X65Y24
INT_INTERFACE
INT_INTERFACE_X37Y12
MCB_CAP_INT
MCB_CAP_INT_X37Y12
IOI_RTERM
IOI_RTERM_X88Y15
EMP_RIOB
EMP_RIOB_X37Y12
LIOB
LIOB_X0Y11
IOBM P5
IOBS P4
IOI_LTERM
IOI_LTERM_X1Y14
LIOI_INT
LIOI_INT_X0Y11
TIEOFF TIEOFF_X0Y22
LIOI
LIOI_X0Y11
OLOGIC2 OLOGIC_X0Y12
IODELAY2 IODELAY_X0Y12
ILOGIC2 ILOGIC_X0Y12
OLOGIC2 OLOGIC_X0Y13
IODELAY2 IODELAY_X0Y13
ILOGIC2 ILOGIC_X0Y13
TIEOFF TIEOFF_X1Y22
MCB_CAP_INT
MCB_CAP_INT_X0Y11
INT
INT_X1Y11
TIEOFF TIEOFF_X2Y22
CLEXL
CLEXL_X1Y11
SLICEL SLICE_X0Y11
SLICEX SLICE_X1Y11
INT
INT_X2Y11
TIEOFF TIEOFF_X4Y22
CLEXM
CLEXM_X2Y11
SLICEM SLICE_X2Y11
SLICEX SLICE_X3Y11
INT_BRAM
INT_BRAM_X3Y11
TIEOFF TIEOFF_X6Y22
INT_INTERFACE
INT_INTERFACE_X3Y11
NULL
NULL_X11Y14
INT
INT_X4Y11
TIEOFF TIEOFF_X7Y22
CLEXL
CLEXL_X4Y11
SLICEL SLICE_X4Y11
SLICEX SLICE_X5Y11
INT
INT_X5Y11
TIEOFF TIEOFF_X9Y22
CLEXM
CLEXM_X5Y11
SLICEM SLICE_X6Y11
SLICEX SLICE_X7Y11
INT
INT_X6Y11
TIEOFF TIEOFF_X11Y22
INT_INTERFACE
INT_INTERFACE_X6Y11
NULL
NULL_X18Y14
INT
INT_X7Y11
TIEOFF TIEOFF_X12Y22
CLEXL
CLEXL_X7Y11
SLICEL SLICE_X8Y11
SLICEX SLICE_X9Y11
INT
INT_X8Y11
TIEOFF TIEOFF_X14Y22
CLEXM
CLEXM_X8Y11
SLICEM SLICE_X10Y11
SLICEX SLICE_X11Y11
INT
INT_X9Y11
TIEOFF TIEOFF_X16Y22
CLEXL
CLEXL_X9Y11
SLICEL SLICE_X12Y11
SLICEX SLICE_X13Y11
INT
INT_X10Y11
TIEOFF TIEOFF_X17Y22
CLEXM
CLEXM_X10Y11
SLICEM SLICE_X14Y11
SLICEX SLICE_X15Y11
INT
INT_X11Y11
TIEOFF TIEOFF_X19Y22
CLEXL
CLEXL_X11Y11
SLICEL SLICE_X16Y11
SLICEX SLICE_X17Y11
INT_BRAM
INT_BRAM_X12Y11
TIEOFF TIEOFF_X21Y22
INT_INTERFACE
INT_INTERFACE_X12Y11
NULL
NULL_X31Y14
INT
INT_X13Y11
TIEOFF TIEOFF_X22Y22
CLEXM
CLEXM_X13Y11
SLICEM SLICE_X18Y11
SLICEX SLICE_X19Y11
INT
INT_X14Y11
TIEOFF TIEOFF_X24Y22
CLEXL
CLEXL_X14Y11
SLICEL SLICE_X20Y11
SLICEX SLICE_X21Y11
INT
INT_X15Y11
TIEOFF TIEOFF_X26Y22
CLEXM
CLEXM_X15Y11
SLICEM SLICE_X22Y11
SLICEX SLICE_X23Y11
INT
INT_X16Y11
TIEOFF TIEOFF_X28Y22
CLEXL
CLEXL_X16Y11
SLICEL SLICE_X24Y11
SLICEX SLICE_X25Y11
INT
INT_X17Y11
TIEOFF TIEOFF_X29Y22
CLEXM
CLEXM_X17Y11
SLICEM SLICE_X26Y11
SLICEX SLICE_X27Y11
INT
INT_X18Y11
TIEOFF TIEOFF_X31Y22
CLEXL
CLEXL_X18Y11
SLICEL SLICE_X28Y11
SLICEX SLICE_X29Y11
NULL
NULL_X44Y14
REG_V
REG_V_X18Y11
INT
INT_X19Y11
TIEOFF TIEOFF_X34Y22
CLEXM
CLEXM_X19Y11
SLICEM SLICE_X30Y11
SLICEX SLICE_X31Y11
INT
INT_X20Y11
TIEOFF TIEOFF_X36Y22
CLEXL
CLEXL_X20Y11
SLICEL SLICE_X32Y11
SLICEX SLICE_X33Y11
INT
INT_X21Y11
TIEOFF TIEOFF_X38Y22
CLEXM
CLEXM_X21Y11
SLICEM SLICE_X34Y11
SLICEX SLICE_X35Y11
INT
INT_X22Y11
TIEOFF TIEOFF_X39Y22
CLEXL
CLEXL_X22Y11
SLICEL SLICE_X36Y11
SLICEX SLICE_X37Y11
INT
INT_X23Y11
TIEOFF TIEOFF_X41Y22
CLEXM
CLEXM_X23Y11
SLICEM SLICE_X38Y11
SLICEX SLICE_X39Y11
INT
INT_X24Y11
TIEOFF TIEOFF_X43Y22
CLEXL
CLEXL_X24Y11
SLICEL SLICE_X40Y11
SLICEX SLICE_X41Y11
INT_BRAM
INT_BRAM_X25Y11
TIEOFF TIEOFF_X45Y22
INT_INTERFACE
INT_INTERFACE_X25Y11
NULL
NULL_X60Y14
INT
INT_X26Y11
TIEOFF TIEOFF_X46Y22
CLEXL
CLEXL_X26Y11
SLICEL SLICE_X42Y11
SLICEX SLICE_X43Y11
INT
INT_X27Y11
TIEOFF TIEOFF_X48Y22
CLEXM
CLEXM_X27Y11
SLICEM SLICE_X44Y11
SLICEX SLICE_X45Y11
INT
INT_X28Y11
TIEOFF TIEOFF_X50Y22
CLEXL
CLEXL_X28Y11
SLICEL SLICE_X46Y11
SLICEX SLICE_X47Y11
INT
INT_X29Y11
TIEOFF TIEOFF_X51Y22
CLEXM
CLEXM_X29Y11
SLICEM SLICE_X48Y11
SLICEX SLICE_X49Y11
INT
INT_X30Y11
TIEOFF TIEOFF_X53Y22
CLEXL
CLEXL_X30Y11
SLICEL SLICE_X50Y11
SLICEX SLICE_X51Y11
INT
INT_X31Y11
TIEOFF TIEOFF_X55Y22
INT_INTERFACE
INT_INTERFACE_X31Y11
NULL
NULL_X73Y14
INT
INT_X32Y11
TIEOFF TIEOFF_X56Y22
CLEXM
CLEXM_X32Y11
SLICEM SLICE_X52Y11
SLICEX SLICE_X53Y11
INT
INT_X33Y11
TIEOFF TIEOFF_X58Y22
CLEXL
CLEXL_X33Y11
SLICEL SLICE_X54Y11
SLICEX SLICE_X55Y11
INT_BRAM
INT_BRAM_X34Y11
TIEOFF TIEOFF_X60Y22
INT_INTERFACE
INT_INTERFACE_X34Y11
NULL
NULL_X80Y14
INT
INT_X35Y11
TIEOFF TIEOFF_X61Y22
CLEXM
CLEXM_X35Y11
SLICEM SLICE_X56Y11
SLICEX SLICE_X57Y11
INT
INT_X36Y11
TIEOFF TIEOFF_X63Y22
CLEXL
CLEXL_X36Y11
SLICEL SLICE_X58Y11
SLICEX SLICE_X59Y11
IOI_INT
IOI_INT_X37Y11
TIEOFF TIEOFF_X65Y22
RIOI
RIOI_X37Y11
OLOGIC2 OLOGIC_X27Y12
IODELAY2 IODELAY_X27Y12
ILOGIC2 ILOGIC_X27Y12
OLOGIC2 OLOGIC_X27Y13
IODELAY2 IODELAY_X27Y13
ILOGIC2 ILOGIC_X27Y13
TIEOFF TIEOFF_X66Y22
MCB_CAP_INT
MCB_CAP_INT_X37Y11
IOI_RTERM
IOI_RTERM_X88Y14
RIOB
RIOB_X37Y11
IOBS R16
IOBM R15
EMP_LIOB
EMP_LIOB_X0Y13
IOI_LTERM
IOI_LTERM_X1Y13
INT
INT_X0Y10
TIEOFF TIEOFF_X0Y20
INT_INTERFACE
INT_INTERFACE_X0Y10
MCB_CAP_INT
MCB_CAP_INT_X0Y10
INT
INT_X1Y10
TIEOFF TIEOFF_X2Y20
CLEXL
CLEXL_X1Y10
SLICEL SLICE_X0Y10
SLICEX SLICE_X1Y10
INT
INT_X2Y10
TIEOFF TIEOFF_X4Y20
CLEXM
CLEXM_X2Y10
SLICEM SLICE_X2Y10
SLICEX SLICE_X3Y10
INT_BRAM
INT_BRAM_X3Y10
TIEOFF TIEOFF_X6Y20
INT_INTERFACE
INT_INTERFACE_X3Y10
NULL
NULL_X11Y13
INT
INT_X4Y10
TIEOFF TIEOFF_X7Y20
CLEXL
CLEXL_X4Y10
SLICEL SLICE_X4Y10
SLICEX SLICE_X5Y10
INT
INT_X5Y10
TIEOFF TIEOFF_X9Y20
CLEXM
CLEXM_X5Y10
SLICEM SLICE_X6Y10
SLICEX SLICE_X7Y10
INT
INT_X6Y10
TIEOFF TIEOFF_X11Y20
INT_INTERFACE
INT_INTERFACE_X6Y10
NULL
NULL_X18Y13
INT
INT_X7Y10
TIEOFF TIEOFF_X12Y20
CLEXL
CLEXL_X7Y10
SLICEL SLICE_X8Y10
SLICEX SLICE_X9Y10
INT
INT_X8Y10
TIEOFF TIEOFF_X14Y20
CLEXM
CLEXM_X8Y10
SLICEM SLICE_X10Y10
SLICEX SLICE_X11Y10
INT
INT_X9Y10
TIEOFF TIEOFF_X16Y20
CLEXL
CLEXL_X9Y10
SLICEL SLICE_X12Y10
SLICEX SLICE_X13Y10
INT
INT_X10Y10
TIEOFF TIEOFF_X17Y20
CLEXM
CLEXM_X10Y10
SLICEM SLICE_X14Y10
SLICEX SLICE_X15Y10
INT
INT_X11Y10
TIEOFF TIEOFF_X19Y20
CLEXL
CLEXL_X11Y10
SLICEL SLICE_X16Y10
SLICEX SLICE_X17Y10
INT_BRAM
INT_BRAM_X12Y10
TIEOFF TIEOFF_X21Y20
INT_INTERFACE
INT_INTERFACE_X12Y10
NULL
NULL_X31Y13
INT
INT_X13Y10
TIEOFF TIEOFF_X22Y20
CLEXM
CLEXM_X13Y10
SLICEM SLICE_X18Y10
SLICEX SLICE_X19Y10
INT
INT_X14Y10
TIEOFF TIEOFF_X24Y20
CLEXL
CLEXL_X14Y10
SLICEL SLICE_X20Y10
SLICEX SLICE_X21Y10
INT
INT_X15Y10
TIEOFF TIEOFF_X26Y20
CLEXM
CLEXM_X15Y10
SLICEM SLICE_X22Y10
SLICEX SLICE_X23Y10
INT
INT_X16Y10
TIEOFF TIEOFF_X28Y20
CLEXL
CLEXL_X16Y10
SLICEL SLICE_X24Y10
SLICEX SLICE_X25Y10
INT
INT_X17Y10
TIEOFF TIEOFF_X29Y20
CLEXM
CLEXM_X17Y10
SLICEM SLICE_X26Y10
SLICEX SLICE_X27Y10
INT
INT_X18Y10
TIEOFF TIEOFF_X31Y20
CLEXL
CLEXL_X18Y10
SLICEL SLICE_X28Y10
SLICEX SLICE_X29Y10
NULL
NULL_X44Y13
REG_V
REG_V_X18Y10
INT
INT_X19Y10
TIEOFF TIEOFF_X34Y20
CLEXM
CLEXM_X19Y10
SLICEM SLICE_X30Y10
SLICEX SLICE_X31Y10
INT
INT_X20Y10
TIEOFF TIEOFF_X36Y20
CLEXL
CLEXL_X20Y10
SLICEL SLICE_X32Y10
SLICEX SLICE_X33Y10
INT
INT_X21Y10
TIEOFF TIEOFF_X38Y20
CLEXM
CLEXM_X21Y10
SLICEM SLICE_X34Y10
SLICEX SLICE_X35Y10
INT
INT_X22Y10
TIEOFF TIEOFF_X39Y20
CLEXL
CLEXL_X22Y10
SLICEL SLICE_X36Y10
SLICEX SLICE_X37Y10
INT
INT_X23Y10
TIEOFF TIEOFF_X41Y20
CLEXM
CLEXM_X23Y10
SLICEM SLICE_X38Y10
SLICEX SLICE_X39Y10
INT
INT_X24Y10
TIEOFF TIEOFF_X43Y20
CLEXL
CLEXL_X24Y10
SLICEL SLICE_X40Y10
SLICEX SLICE_X41Y10
INT_BRAM
INT_BRAM_X25Y10
TIEOFF TIEOFF_X45Y20
INT_INTERFACE
INT_INTERFACE_X25Y10
NULL
NULL_X60Y13
INT
INT_X26Y10
TIEOFF TIEOFF_X46Y20
CLEXL
CLEXL_X26Y10
SLICEL SLICE_X42Y10
SLICEX SLICE_X43Y10
INT
INT_X27Y10
TIEOFF TIEOFF_X48Y20
CLEXM
CLEXM_X27Y10
SLICEM SLICE_X44Y10
SLICEX SLICE_X45Y10
INT
INT_X28Y10
TIEOFF TIEOFF_X50Y20
CLEXL
CLEXL_X28Y10
SLICEL SLICE_X46Y10
SLICEX SLICE_X47Y10
INT
INT_X29Y10
TIEOFF TIEOFF_X51Y20
CLEXM
CLEXM_X29Y10
SLICEM SLICE_X48Y10
SLICEX SLICE_X49Y10
INT
INT_X30Y10
TIEOFF TIEOFF_X53Y20
CLEXL
CLEXL_X30Y10
SLICEL SLICE_X50Y10
SLICEX SLICE_X51Y10
INT
INT_X31Y10
TIEOFF TIEOFF_X55Y20
INT_INTERFACE
INT_INTERFACE_X31Y10
NULL
NULL_X73Y13
INT
INT_X32Y10
TIEOFF TIEOFF_X56Y20
CLEXM
CLEXM_X32Y10
SLICEM SLICE_X52Y10
SLICEX SLICE_X53Y10
INT
INT_X33Y10
TIEOFF TIEOFF_X58Y20
CLEXL
CLEXL_X33Y10
SLICEL SLICE_X54Y10
SLICEX SLICE_X55Y10
INT_BRAM
INT_BRAM_X34Y10
TIEOFF TIEOFF_X60Y20
INT_INTERFACE
INT_INTERFACE_X34Y10
NULL
NULL_X80Y13
INT
INT_X35Y10
TIEOFF TIEOFF_X61Y20
CLEXM
CLEXM_X35Y10
SLICEM SLICE_X56Y10
SLICEX SLICE_X57Y10
INT
INT_X36Y10
TIEOFF TIEOFF_X63Y20
CLEXL
CLEXL_X36Y10
SLICEL SLICE_X58Y10
SLICEX SLICE_X59Y10
INT
INT_X37Y10
TIEOFF TIEOFF_X65Y20
INT_INTERFACE
INT_INTERFACE_X37Y10
MCB_CAP_INT
MCB_CAP_INT_X37Y10
IOI_RTERM
IOI_RTERM_X88Y13
EMP_RIOB
EMP_RIOB_X37Y10
LIOB
LIOB_X0Y9
IOBM V5
IOBS V3
IOI_LTERM
IOI_LTERM_X1Y12
LIOI_INT
LIOI_INT_X0Y9
TIEOFF TIEOFF_X0Y18
LIOI
LIOI_X0Y9
OLOGIC2 OLOGIC_X0Y10
IODELAY2 IODELAY_X0Y10
ILOGIC2 ILOGIC_X0Y10
OLOGIC2 OLOGIC_X0Y11
IODELAY2 IODELAY_X0Y11
ILOGIC2 ILOGIC_X0Y11
TIEOFF TIEOFF_X1Y18
MCB_CAP_INT
MCB_CAP_INT_X0Y9
INT
INT_X1Y9
TIEOFF TIEOFF_X2Y18
CLEXL
CLEXL_X1Y9
SLICEL SLICE_X0Y9
SLICEX SLICE_X1Y9
INT
INT_X2Y9
TIEOFF TIEOFF_X4Y18
CLEXM
CLEXM_X2Y9
SLICEM SLICE_X2Y9
SLICEX SLICE_X3Y9
INT_BRAM
INT_BRAM_X3Y9
TIEOFF TIEOFF_X6Y18
INT_INTERFACE
INT_INTERFACE_X3Y9
NULL
NULL_X11Y12
INT
INT_X4Y9
TIEOFF TIEOFF_X7Y18
CLEXL
CLEXL_X4Y9
SLICEL SLICE_X4Y9
SLICEX SLICE_X5Y9
INT
INT_X5Y9
TIEOFF TIEOFF_X9Y18
CLEXM
CLEXM_X5Y9
SLICEM SLICE_X6Y9
SLICEX SLICE_X7Y9
INT
INT_X6Y9
TIEOFF TIEOFF_X11Y18
INT_INTERFACE
INT_INTERFACE_X6Y9
NULL
NULL_X18Y12
INT
INT_X7Y9
TIEOFF TIEOFF_X12Y18
CLEXL
CLEXL_X7Y9
SLICEL SLICE_X8Y9
SLICEX SLICE_X9Y9
INT
INT_X8Y9
TIEOFF TIEOFF_X14Y18
CLEXM
CLEXM_X8Y9
SLICEM SLICE_X10Y9
SLICEX SLICE_X11Y9
INT
INT_X9Y9
TIEOFF TIEOFF_X16Y18
CLEXL
CLEXL_X9Y9
SLICEL SLICE_X12Y9
SLICEX SLICE_X13Y9
INT
INT_X10Y9
TIEOFF TIEOFF_X17Y18
CLEXM
CLEXM_X10Y9
SLICEM SLICE_X14Y9
SLICEX SLICE_X15Y9
INT
INT_X11Y9
TIEOFF TIEOFF_X19Y18
CLEXL
CLEXL_X11Y9
SLICEL SLICE_X16Y9
SLICEX SLICE_X17Y9
INT_BRAM
INT_BRAM_X12Y9
TIEOFF TIEOFF_X21Y18
INT_INTERFACE
INT_INTERFACE_X12Y9
NULL
NULL_X31Y12
INT
INT_X13Y9
TIEOFF TIEOFF_X22Y18
CLEXM
CLEXM_X13Y9
SLICEM SLICE_X18Y9
SLICEX SLICE_X19Y9
INT
INT_X14Y9
TIEOFF TIEOFF_X24Y18
CLEXL
CLEXL_X14Y9
SLICEL SLICE_X20Y9
SLICEX SLICE_X21Y9
INT
INT_X15Y9
TIEOFF TIEOFF_X26Y18
CLEXM
CLEXM_X15Y9
SLICEM SLICE_X22Y9
SLICEX SLICE_X23Y9
INT
INT_X16Y9
TIEOFF TIEOFF_X28Y18
CLEXL
CLEXL_X16Y9
SLICEL SLICE_X24Y9
SLICEX SLICE_X25Y9
INT
INT_X17Y9
TIEOFF TIEOFF_X29Y18
CLEXM
CLEXM_X17Y9
SLICEM SLICE_X26Y9
SLICEX SLICE_X27Y9
INT
INT_X18Y9
TIEOFF TIEOFF_X31Y18
CLEXL
CLEXL_X18Y9
SLICEL SLICE_X28Y9
SLICEX SLICE_X29Y9
NULL
NULL_X44Y12
REG_V
REG_V_X18Y9
INT
INT_X19Y9
TIEOFF TIEOFF_X34Y18
CLEXM
CLEXM_X19Y9
SLICEM SLICE_X30Y9
SLICEX SLICE_X31Y9
INT
INT_X20Y9
TIEOFF TIEOFF_X36Y18
CLEXL
CLEXL_X20Y9
SLICEL SLICE_X32Y9
SLICEX SLICE_X33Y9
INT
INT_X21Y9
TIEOFF TIEOFF_X38Y18
CLEXM
CLEXM_X21Y9
SLICEM SLICE_X34Y9
SLICEX SLICE_X35Y9
INT
INT_X22Y9
TIEOFF TIEOFF_X39Y18
CLEXL
CLEXL_X22Y9
SLICEL SLICE_X36Y9
SLICEX SLICE_X37Y9
INT
INT_X23Y9
TIEOFF TIEOFF_X41Y18
CLEXM
CLEXM_X23Y9
SLICEM SLICE_X38Y9
SLICEX SLICE_X39Y9
INT
INT_X24Y9
TIEOFF TIEOFF_X43Y18
CLEXL
CLEXL_X24Y9
SLICEL SLICE_X40Y9
SLICEX SLICE_X41Y9
INT_BRAM
INT_BRAM_X25Y9
TIEOFF TIEOFF_X45Y18
INT_INTERFACE
INT_INTERFACE_X25Y9
NULL
NULL_X60Y12
INT
INT_X26Y9
TIEOFF TIEOFF_X46Y18
CLEXL
CLEXL_X26Y9
SLICEL SLICE_X42Y9
SLICEX SLICE_X43Y9
INT
INT_X27Y9
TIEOFF TIEOFF_X48Y18
CLEXM
CLEXM_X27Y9
SLICEM SLICE_X44Y9
SLICEX SLICE_X45Y9
INT
INT_X28Y9
TIEOFF TIEOFF_X50Y18
CLEXL
CLEXL_X28Y9
SLICEL SLICE_X46Y9
SLICEX SLICE_X47Y9
INT
INT_X29Y9
TIEOFF TIEOFF_X51Y18
CLEXM
CLEXM_X29Y9
SLICEM SLICE_X48Y9
SLICEX SLICE_X49Y9
INT
INT_X30Y9
TIEOFF TIEOFF_X53Y18
CLEXL
CLEXL_X30Y9
SLICEL SLICE_X50Y9
SLICEX SLICE_X51Y9
INT
INT_X31Y9
TIEOFF TIEOFF_X55Y18
INT_INTERFACE
INT_INTERFACE_X31Y9
NULL
NULL_X73Y12
INT
INT_X32Y9
TIEOFF TIEOFF_X56Y18
CLEXM
CLEXM_X32Y9
SLICEM SLICE_X52Y9
SLICEX SLICE_X53Y9
INT
INT_X33Y9
TIEOFF TIEOFF_X58Y18
CLEXL
CLEXL_X33Y9
SLICEL SLICE_X54Y9
SLICEX SLICE_X55Y9
INT_BRAM
INT_BRAM_X34Y9
TIEOFF TIEOFF_X60Y18
INT_INTERFACE
INT_INTERFACE_X34Y9
NULL
NULL_X80Y12
INT
INT_X35Y9
TIEOFF TIEOFF_X61Y18
CLEXM
CLEXM_X35Y9
SLICEM SLICE_X56Y9
SLICEX SLICE_X57Y9
INT
INT_X36Y9
TIEOFF TIEOFF_X63Y18
CLEXL
CLEXL_X36Y9
SLICEL SLICE_X58Y9
SLICEX SLICE_X59Y9
IOI_INT
IOI_INT_X37Y9
TIEOFF TIEOFF_X65Y18
RIOI
RIOI_X37Y9
OLOGIC2 OLOGIC_X27Y10
IODELAY2 IODELAY_X27Y10
ILOGIC2 ILOGIC_X27Y10
OLOGIC2 OLOGIC_X27Y11
IODELAY2 IODELAY_X27Y11
ILOGIC2 ILOGIC_X27Y11
TIEOFF TIEOFF_X66Y18
MCB_CAP_INT
MCB_CAP_INT_X37Y9
IOI_RTERM
IOI_RTERM_X88Y12
RIOB
RIOB_X37Y9
IOBS P18
IOBM P17
EMP_LIOB
EMP_LIOB_X0Y11
IOI_LTERM
IOI_LTERM_X1Y11
INT
INT_X0Y8
TIEOFF TIEOFF_X0Y16
INT_INTERFACE
INT_INTERFACE_X0Y8
MCB_CAP_INT
MCB_CAP_INT_X0Y8
INT
INT_X1Y8
TIEOFF TIEOFF_X2Y16
CLEXL
CLEXL_X1Y8
SLICEL SLICE_X0Y8
SLICEX SLICE_X1Y8
INT
INT_X2Y8
TIEOFF TIEOFF_X4Y16
CLEXM
CLEXM_X2Y8
SLICEM SLICE_X2Y8
SLICEX SLICE_X3Y8
INT_BRAM
INT_BRAM_X3Y8
TIEOFF TIEOFF_X6Y16
INT_INTERFACE
INT_INTERFACE_X3Y8
BRAMSITE2
BRAMSITE2_X3Y8
RAMB16BWER RAMB16_X0Y4
RAMB8BWER RAMB8_X0Y4
RAMB8BWER RAMB8_X0Y5
INT
INT_X4Y8
TIEOFF TIEOFF_X7Y16
CLEXL
CLEXL_X4Y8
SLICEL SLICE_X4Y8
SLICEX SLICE_X5Y8
INT
INT_X5Y8
TIEOFF TIEOFF_X9Y16
CLEXM
CLEXM_X5Y8
SLICEM SLICE_X6Y8
SLICEX SLICE_X7Y8
INT
INT_X6Y8
TIEOFF TIEOFF_X11Y16
INT_INTERFACE
INT_INTERFACE_X6Y8
MACCSITE2
MACCSITE2_X6Y8
DSP48A1 DSP48_X0Y2
INT
INT_X7Y8
TIEOFF TIEOFF_X12Y16
CLEXL
CLEXL_X7Y8
SLICEL SLICE_X8Y8
SLICEX SLICE_X9Y8
INT
INT_X8Y8
TIEOFF TIEOFF_X14Y16
CLEXM
CLEXM_X8Y8
SLICEM SLICE_X10Y8
SLICEX SLICE_X11Y8
INT
INT_X9Y8
TIEOFF TIEOFF_X16Y16
CLEXL
CLEXL_X9Y8
SLICEL SLICE_X12Y8
SLICEX SLICE_X13Y8
INT
INT_X10Y8
TIEOFF TIEOFF_X17Y16
CLEXM
CLEXM_X10Y8
SLICEM SLICE_X14Y8
SLICEX SLICE_X15Y8
INT
INT_X11Y8
TIEOFF TIEOFF_X19Y16
CLEXL
CLEXL_X11Y8
SLICEL SLICE_X16Y8
SLICEX SLICE_X17Y8
INT_BRAM
INT_BRAM_X12Y8
TIEOFF TIEOFF_X21Y16
INT_INTERFACE
INT_INTERFACE_X12Y8
BRAMSITE2
BRAMSITE2_X12Y8
RAMB16BWER RAMB16_X1Y4
RAMB8BWER RAMB8_X1Y4
RAMB8BWER RAMB8_X1Y5
INT
INT_X13Y8
TIEOFF TIEOFF_X22Y16
CLEXM
CLEXM_X13Y8
SLICEM SLICE_X18Y8
SLICEX SLICE_X19Y8
INT
INT_X14Y8
TIEOFF TIEOFF_X24Y16
CLEXL
CLEXL_X14Y8
SLICEL SLICE_X20Y8
SLICEX SLICE_X21Y8
INT
INT_X15Y8
TIEOFF TIEOFF_X26Y16
CLEXM
CLEXM_X15Y8
SLICEM SLICE_X22Y8
SLICEX SLICE_X23Y8
INT
INT_X16Y8
TIEOFF TIEOFF_X28Y16
CLEXL
CLEXL_X16Y8
SLICEL SLICE_X24Y8
SLICEX SLICE_X25Y8
INT
INT_X17Y8
TIEOFF TIEOFF_X29Y16
CLEXM
CLEXM_X17Y8
SLICEM SLICE_X26Y8
SLICEX SLICE_X27Y8
IOI_INT
IOI_INT_X18Y8
TIEOFF TIEOFF_X31Y16
INT_INTERFACE_IOI
INT_INTERFACE_IOI_X18Y8
CMT_DCM_BOT
CMT_DCM_BOT_X18Y8
DCM DCM_X0Y1
DCM DCM_X0Y0
REG_V
REG_V_X18Y8
INT
INT_X19Y8
TIEOFF TIEOFF_X34Y16
CLEXM
CLEXM_X19Y8
SLICEM SLICE_X30Y8
SLICEX SLICE_X31Y8
INT
INT_X20Y8
TIEOFF TIEOFF_X36Y16
CLEXL
CLEXL_X20Y8
SLICEL SLICE_X32Y8
SLICEX SLICE_X33Y8
INT
INT_X21Y8
TIEOFF TIEOFF_X38Y16
CLEXM
CLEXM_X21Y8
SLICEM SLICE_X34Y8
SLICEX SLICE_X35Y8
INT
INT_X22Y8
TIEOFF TIEOFF_X39Y16
CLEXL
CLEXL_X22Y8
SLICEL SLICE_X36Y8
SLICEX SLICE_X37Y8
INT
INT_X23Y8
TIEOFF TIEOFF_X41Y16
CLEXM
CLEXM_X23Y8
SLICEM SLICE_X38Y8
SLICEX SLICE_X39Y8
INT
INT_X24Y8
TIEOFF TIEOFF_X43Y16
CLEXL
CLEXL_X24Y8
SLICEL SLICE_X40Y8
SLICEX SLICE_X41Y8
INT_BRAM
INT_BRAM_X25Y8
TIEOFF TIEOFF_X45Y16
INT_INTERFACE
INT_INTERFACE_X25Y8
BRAMSITE2
BRAMSITE2_X25Y8
RAMB16BWER RAMB16_X2Y4
RAMB8BWER RAMB8_X2Y4
RAMB8BWER RAMB8_X2Y5
INT
INT_X26Y8
TIEOFF TIEOFF_X46Y16
CLEXL
CLEXL_X26Y8
SLICEL SLICE_X42Y8
SLICEX SLICE_X43Y8
INT
INT_X27Y8
TIEOFF TIEOFF_X48Y16
CLEXM
CLEXM_X27Y8
SLICEM SLICE_X44Y8
SLICEX SLICE_X45Y8
INT
INT_X28Y8
TIEOFF TIEOFF_X50Y16
CLEXL
CLEXL_X28Y8
SLICEL SLICE_X46Y8
SLICEX SLICE_X47Y8
INT
INT_X29Y8
TIEOFF TIEOFF_X51Y16
CLEXM
CLEXM_X29Y8
SLICEM SLICE_X48Y8
SLICEX SLICE_X49Y8
INT
INT_X30Y8
TIEOFF TIEOFF_X53Y16
CLEXL
CLEXL_X30Y8
SLICEL SLICE_X50Y8
SLICEX SLICE_X51Y8
INT
INT_X31Y8
TIEOFF TIEOFF_X55Y16
INT_INTERFACE
INT_INTERFACE_X31Y8
MACCSITE2
MACCSITE2_X31Y8
DSP48A1 DSP48_X1Y2
INT
INT_X32Y8
TIEOFF TIEOFF_X56Y16
CLEXM
CLEXM_X32Y8
SLICEM SLICE_X52Y8
SLICEX SLICE_X53Y8
INT
INT_X33Y8
TIEOFF TIEOFF_X58Y16
CLEXL
CLEXL_X33Y8
SLICEL SLICE_X54Y8
SLICEX SLICE_X55Y8
INT_BRAM
INT_BRAM_X34Y8
TIEOFF TIEOFF_X60Y16
INT_INTERFACE
INT_INTERFACE_X34Y8
BRAMSITE2
BRAMSITE2_X34Y8
RAMB16BWER RAMB16_X3Y4
RAMB8BWER RAMB8_X3Y4
RAMB8BWER RAMB8_X3Y5
INT
INT_X35Y8
TIEOFF TIEOFF_X61Y16
CLEXM
CLEXM_X35Y8
SLICEM SLICE_X56Y8
SLICEX SLICE_X57Y8
INT
INT_X36Y8
TIEOFF TIEOFF_X63Y16
CLEXL
CLEXL_X36Y8
SLICEL SLICE_X58Y8
SLICEX SLICE_X59Y8
INT
INT_X37Y8
TIEOFF TIEOFF_X65Y16
INT_INTERFACE
INT_INTERFACE_X37Y8
MCB_CAP_INT
MCB_CAP_INT_X37Y8
IOI_RTERM
IOI_RTERM_X88Y11
EMP_RIOB
EMP_RIOB_X37Y8
HCLK_IOIL_EMP
HCLK_IOIL_EMP_X0Y10
HCLK_IOI_LTERM
HCLK_IOI_LTERM_X1Y10
HCLK_IOIL_INT_FOLD
HCLK_IOIL_INT_FOLD_X0Y7
HCLK_IOIL_BOT_DN
HCLK_IOIL_BOT_DN_X0Y7
MCB_HCLK
MCB_HCLK_X0Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X1Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X1Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X2Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X2Y7
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X3Y7
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X3Y7
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X3Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X4Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X4Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X5Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X5Y7
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X6Y7
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X6Y7
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X6Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X7Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X7Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X8Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X8Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X9Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X9Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X10Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X10Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X11Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X11Y7
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X12Y7
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X12Y7
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X12Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X13Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X13Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X14Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X14Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X15Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X15Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X16Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X16Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X17Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X17Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X18Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X18Y7
NULL
NULL_X44Y10
REG_V_HCLK
REG_V_HCLK_X44Y10
BUFH BUFH_X0Y31
BUFH BUFH_X0Y30
BUFH BUFH_X0Y29
BUFH BUFH_X0Y28
BUFH BUFH_X0Y27
BUFH BUFH_X0Y26
BUFH BUFH_X0Y25
BUFH BUFH_X0Y24
BUFH BUFH_X0Y23
BUFH BUFH_X0Y22
BUFH BUFH_X0Y21
BUFH BUFH_X0Y20
BUFH BUFH_X0Y19
BUFH BUFH_X0Y18
BUFH BUFH_X0Y17
BUFH BUFH_X0Y16
BUFH BUFH_X3Y15
BUFH BUFH_X3Y14
BUFH BUFH_X3Y13
BUFH BUFH_X3Y12
BUFH BUFH_X3Y11
BUFH BUFH_X3Y10
BUFH BUFH_X3Y9
BUFH BUFH_X3Y8
BUFH BUFH_X3Y7
BUFH BUFH_X3Y6
BUFH BUFH_X3Y5
BUFH BUFH_X3Y4
BUFH BUFH_X3Y3
BUFH BUFH_X3Y2
BUFH BUFH_X3Y1
BUFH BUFH_X3Y0
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X19Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X19Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X20Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X20Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X21Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X21Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X22Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X22Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X23Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X23Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X24Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X24Y7
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X25Y7
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X25Y7
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X25Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X26Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X26Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X27Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X27Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X28Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X28Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X29Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X29Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X30Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X30Y7
DSP_INT_HCLK_FEEDTHRU_FOLD
DSP_INT_HCLK_FEEDTHRU_FOLD_X31Y7
DSP_CLB_HCLK_FEEDTHRU_FOLD
DSP_CLB_HCLK_FEEDTHRU_FOLD_X31Y7
DSP_HCLK_GCLK_FOLD
DSP_HCLK_GCLK_FOLD_X31Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X32Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X32Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X33Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X33Y7
BRAM_HCLK_FEEDTHRU_FOLD
BRAM_HCLK_FEEDTHRU_FOLD_X34Y7
BRAM_HCLK_FEEDTHRU_INTER_FOLD
BRAM_HCLK_FEEDTHRU_INTER_FOLD_X34Y7
HCLK_BRAM_FEEDTHRU_FOLD
HCLK_BRAM_FEEDTHRU_FOLD_X34Y7
HCLK_CLB_XM_INT_FOLD
HCLK_CLB_XM_INT_FOLD_X35Y7
HCLK_CLB_XM_CLE_FOLD
HCLK_CLB_XM_CLE_FOLD_X35Y7
HCLK_CLB_XL_INT_FOLD
HCLK_CLB_XL_INT_FOLD_X36Y7
HCLK_CLB_XL_CLE_FOLD
HCLK_CLB_XL_CLE_FOLD_X36Y7
HCLK_IOIR_INT_FOLD
HCLK_IOIR_INT_FOLD_X37Y7
HCLK_IOIR_BOT_DN
HCLK_IOIR_BOT_DN_X37Y7
MCB_HCLK
MCB_HCLK_X37Y7
HCLK_IOI_RTERM
HCLK_IOI_RTERM_X88Y10
HCLK_IOIR_EMP
HCLK_IOIR_EMP_X88Y10
LIOB
LIOB_X0Y7
IOBM T6
IOBS T5
IOI_LTERM
IOI_LTERM_X1Y9
LIOI_INT
LIOI_INT_X0Y7
TIEOFF TIEOFF_X0Y14
LIOI
LIOI_X0Y7
OLOGIC2 OLOGIC_X0Y8
IODELAY2 IODELAY_X0Y8
ILOGIC2 ILOGIC_X0Y8
OLOGIC2 OLOGIC_X0Y9
IODELAY2 IODELAY_X0Y9
ILOGIC2 ILOGIC_X0Y9
TIEOFF TIEOFF_X1Y14
MCB_CAP_INT
MCB_CAP_INT_X0Y7
INT
INT_X1Y7
TIEOFF TIEOFF_X2Y14
CLEXL
CLEXL_X1Y7
SLICEL SLICE_X0Y7
SLICEX SLICE_X1Y7
INT
INT_X2Y7
TIEOFF TIEOFF_X4Y14
CLEXM
CLEXM_X2Y7
SLICEM SLICE_X2Y7
SLICEX SLICE_X3Y7
INT_BRAM
INT_BRAM_X3Y7
TIEOFF TIEOFF_X6Y14
INT_INTERFACE
INT_INTERFACE_X3Y7
NULL
NULL_X11Y9
INT
INT_X4Y7
TIEOFF TIEOFF_X7Y14
CLEXL
CLEXL_X4Y7
SLICEL SLICE_X4Y7
SLICEX SLICE_X5Y7
INT
INT_X5Y7
TIEOFF TIEOFF_X9Y14
CLEXM
CLEXM_X5Y7
SLICEM SLICE_X6Y7
SLICEX SLICE_X7Y7
INT
INT_X6Y7
TIEOFF TIEOFF_X11Y14
INT_INTERFACE
INT_INTERFACE_X6Y7
NULL
NULL_X18Y9
INT
INT_X7Y7
TIEOFF TIEOFF_X12Y14
CLEXL
CLEXL_X7Y7
SLICEL SLICE_X8Y7
SLICEX SLICE_X9Y7
INT
INT_X8Y7
TIEOFF TIEOFF_X14Y14
CLEXM
CLEXM_X8Y7
SLICEM SLICE_X10Y7
SLICEX SLICE_X11Y7
INT
INT_X9Y7
TIEOFF TIEOFF_X16Y14
CLEXL
CLEXL_X9Y7
SLICEL SLICE_X12Y7
SLICEX SLICE_X13Y7
INT
INT_X10Y7
TIEOFF TIEOFF_X17Y14
CLEXM
CLEXM_X10Y7
SLICEM SLICE_X14Y7
SLICEX SLICE_X15Y7
INT
INT_X11Y7
TIEOFF TIEOFF_X19Y14
CLEXL
CLEXL_X11Y7
SLICEL SLICE_X16Y7
SLICEX SLICE_X17Y7
INT_BRAM
INT_BRAM_X12Y7
TIEOFF TIEOFF_X21Y14
INT_INTERFACE
INT_INTERFACE_X12Y7
NULL
NULL_X31Y9
INT
INT_X13Y7
TIEOFF TIEOFF_X22Y14
CLEXM
CLEXM_X13Y7
SLICEM SLICE_X18Y7
SLICEX SLICE_X19Y7
INT
INT_X14Y7
TIEOFF TIEOFF_X24Y14
CLEXL
CLEXL_X14Y7
SLICEL SLICE_X20Y7
SLICEX SLICE_X21Y7
INT
INT_X15Y7
TIEOFF TIEOFF_X26Y14
CLEXM
CLEXM_X15Y7
SLICEM SLICE_X22Y7
SLICEX SLICE_X23Y7
INT
INT_X16Y7
TIEOFF TIEOFF_X28Y14
CLEXL
CLEXL_X16Y7
SLICEL SLICE_X24Y7
SLICEX SLICE_X25Y7
INT
INT_X17Y7
TIEOFF TIEOFF_X29Y14
CLEXM
CLEXM_X17Y7
SLICEM SLICE_X26Y7
SLICEX SLICE_X27Y7
IOI_INT
IOI_INT_X18Y7
TIEOFF TIEOFF_X31Y14
INT_INTERFACE_IOI_DCMBOT
INT_INTERFACE_IOI_X18Y7
NULL
NULL_X44Y9
REG_V_MEMB_BOT
REG_V_MEMB_BOT_X18Y7
INT
INT_X19Y7
TIEOFF TIEOFF_X34Y14
CLEXM
CLEXM_X19Y7
SLICEM SLICE_X30Y7
SLICEX SLICE_X31Y7
INT
INT_X20Y7
TIEOFF TIEOFF_X36Y14
CLEXL
CLEXL_X20Y7
SLICEL SLICE_X32Y7
SLICEX SLICE_X33Y7
INT
INT_X21Y7
TIEOFF TIEOFF_X38Y14
CLEXM
CLEXM_X21Y7
SLICEM SLICE_X34Y7
SLICEX SLICE_X35Y7
INT
INT_X22Y7
TIEOFF TIEOFF_X39Y14
CLEXL
CLEXL_X22Y7
SLICEL SLICE_X36Y7
SLICEX SLICE_X37Y7
INT
INT_X23Y7
TIEOFF TIEOFF_X41Y14
CLEXM
CLEXM_X23Y7
SLICEM SLICE_X38Y7
SLICEX SLICE_X39Y7
INT
INT_X24Y7
TIEOFF TIEOFF_X43Y14
CLEXL
CLEXL_X24Y7
SLICEL SLICE_X40Y7
SLICEX SLICE_X41Y7
INT_BRAM
INT_BRAM_X25Y7
TIEOFF TIEOFF_X45Y14
INT_INTERFACE
INT_INTERFACE_X25Y7
NULL
NULL_X60Y9
INT
INT_X26Y7
TIEOFF TIEOFF_X46Y14
CLEXL
CLEXL_X26Y7
SLICEL SLICE_X42Y7
SLICEX SLICE_X43Y7
INT
INT_X27Y7
TIEOFF TIEOFF_X48Y14
CLEXM
CLEXM_X27Y7
SLICEM SLICE_X44Y7
SLICEX SLICE_X45Y7
INT
INT_X28Y7
TIEOFF TIEOFF_X50Y14
CLEXL
CLEXL_X28Y7
SLICEL SLICE_X46Y7
SLICEX SLICE_X47Y7
INT
INT_X29Y7
TIEOFF TIEOFF_X51Y14
CLEXM
CLEXM_X29Y7
SLICEM SLICE_X48Y7
SLICEX SLICE_X49Y7
INT
INT_X30Y7
TIEOFF TIEOFF_X53Y14
CLEXL
CLEXL_X30Y7
SLICEL SLICE_X50Y7
SLICEX SLICE_X51Y7
INT
INT_X31Y7
TIEOFF TIEOFF_X55Y14
INT_INTERFACE
INT_INTERFACE_X31Y7
NULL
NULL_X73Y9
INT
INT_X32Y7
TIEOFF TIEOFF_X56Y14
CLEXM
CLEXM_X32Y7
SLICEM SLICE_X52Y7
SLICEX SLICE_X53Y7
INT
INT_X33Y7
TIEOFF TIEOFF_X58Y14
CLEXL
CLEXL_X33Y7
SLICEL SLICE_X54Y7
SLICEX SLICE_X55Y7
INT_BRAM
INT_BRAM_X34Y7
TIEOFF TIEOFF_X60Y14
INT_INTERFACE
INT_INTERFACE_X34Y7
NULL
NULL_X80Y9
INT
INT_X35Y7
TIEOFF TIEOFF_X61Y14
CLEXM
CLEXM_X35Y7
SLICEM SLICE_X56Y7
SLICEX SLICE_X57Y7
INT
INT_X36Y7
TIEOFF TIEOFF_X63Y14
CLEXL
CLEXL_X36Y7
SLICEL SLICE_X58Y7
SLICEX SLICE_X59Y7
IOI_INT
IOI_INT_X37Y7
TIEOFF TIEOFF_X65Y14
RIOI
RIOI_X37Y7
OLOGIC2 OLOGIC_X27Y8
IODELAY2 IODELAY_X27Y8
ILOGIC2 ILOGIC_X27Y8
OLOGIC2 OLOGIC_X27Y9
IODELAY2 IODELAY_X27Y9
ILOGIC2 ILOGIC_X27Y9
TIEOFF TIEOFF_X66Y14
MCB_CAP_INT
MCB_CAP_INT_X37Y7
IOI_RTERM
IOI_RTERM_X88Y9
RIOB
RIOB_X37Y7
IOBS T17
IOBM R17
EMP_LIOB
EMP_LIOB_X0Y8
IOI_LTERM
IOI_LTERM_X1Y8
INT
INT_X0Y6
TIEOFF TIEOFF_X0Y12
INT_INTERFACE
INT_INTERFACE_X0Y6
MCB_CAP_INT
MCB_CAP_INT_X0Y6
INT
INT_X1Y6
TIEOFF TIEOFF_X2Y12
CLEXL
CLEXL_X1Y6
SLICEL SLICE_X0Y6
SLICEX SLICE_X1Y6
INT
INT_X2Y6
TIEOFF TIEOFF_X4Y12
CLEXM
CLEXM_X2Y6
SLICEM SLICE_X2Y6
SLICEX SLICE_X3Y6
INT_BRAM
INT_BRAM_X3Y6
TIEOFF TIEOFF_X6Y12
INT_INTERFACE
INT_INTERFACE_X3Y6
NULL
NULL_X11Y8
INT
INT_X4Y6
TIEOFF TIEOFF_X7Y12
CLEXL
CLEXL_X4Y6
SLICEL SLICE_X4Y6
SLICEX SLICE_X5Y6
INT
INT_X5Y6
TIEOFF TIEOFF_X9Y12
CLEXM
CLEXM_X5Y6
SLICEM SLICE_X6Y6
SLICEX SLICE_X7Y6
INT
INT_X6Y6
TIEOFF TIEOFF_X11Y12
INT_INTERFACE
INT_INTERFACE_X6Y6
NULL
NULL_X18Y8
INT
INT_X7Y6
TIEOFF TIEOFF_X12Y12
CLEXL
CLEXL_X7Y6
SLICEL SLICE_X8Y6
SLICEX SLICE_X9Y6
INT
INT_X8Y6
TIEOFF TIEOFF_X14Y12
CLEXM
CLEXM_X8Y6
SLICEM SLICE_X10Y6
SLICEX SLICE_X11Y6
INT
INT_X9Y6
TIEOFF TIEOFF_X16Y12
CLEXL
CLEXL_X9Y6
SLICEL SLICE_X12Y6
SLICEX SLICE_X13Y6
INT
INT_X10Y6
TIEOFF TIEOFF_X17Y12
CLEXM
CLEXM_X10Y6
SLICEM SLICE_X14Y6
SLICEX SLICE_X15Y6
INT
INT_X11Y6
TIEOFF TIEOFF_X19Y12
CLEXL
CLEXL_X11Y6
SLICEL SLICE_X16Y6
SLICEX SLICE_X17Y6
INT_BRAM
INT_BRAM_X12Y6
TIEOFF TIEOFF_X21Y12
INT_INTERFACE
INT_INTERFACE_X12Y6
NULL
NULL_X31Y8
INT
INT_X13Y6
TIEOFF TIEOFF_X22Y12
CLEXM
CLEXM_X13Y6
SLICEM SLICE_X18Y6
SLICEX SLICE_X19Y6
INT
INT_X14Y6
TIEOFF TIEOFF_X24Y12
CLEXL
CLEXL_X14Y6
SLICEL SLICE_X20Y6
SLICEX SLICE_X21Y6
INT
INT_X15Y6
TIEOFF TIEOFF_X26Y12
CLEXM
CLEXM_X15Y6
SLICEM SLICE_X22Y6
SLICEX SLICE_X23Y6
INT
INT_X16Y6
TIEOFF TIEOFF_X28Y12
CLEXL
CLEXL_X16Y6
SLICEL SLICE_X24Y6
SLICEX SLICE_X25Y6
INT
INT_X17Y6
TIEOFF TIEOFF_X29Y12
CLEXM
CLEXM_X17Y6
SLICEM SLICE_X26Y6
SLICEX SLICE_X27Y6
INT
INT_X18Y6
TIEOFF TIEOFF_X31Y12
CLEXL
CLEXL_X18Y6
SLICEL SLICE_X28Y6
SLICEX SLICE_X29Y6
NULL
NULL_X44Y8
REG_V
REG_V_X18Y6
INT
INT_X19Y6
TIEOFF TIEOFF_X34Y12
CLEXM
CLEXM_X19Y6
SLICEM SLICE_X30Y6
SLICEX SLICE_X31Y6
INT
INT_X20Y6
TIEOFF TIEOFF_X36Y12
CLEXL
CLEXL_X20Y6
SLICEL SLICE_X32Y6
SLICEX SLICE_X33Y6
INT
INT_X21Y6
TIEOFF TIEOFF_X38Y12
CLEXM
CLEXM_X21Y6
SLICEM SLICE_X34Y6
SLICEX SLICE_X35Y6
INT
INT_X22Y6
TIEOFF TIEOFF_X39Y12
CLEXL
CLEXL_X22Y6
SLICEL SLICE_X36Y6
SLICEX SLICE_X37Y6
INT
INT_X23Y6
TIEOFF TIEOFF_X41Y12
CLEXM
CLEXM_X23Y6
SLICEM SLICE_X38Y6
SLICEX SLICE_X39Y6
INT
INT_X24Y6
TIEOFF TIEOFF_X43Y12
CLEXL
CLEXL_X24Y6
SLICEL SLICE_X40Y6
SLICEX SLICE_X41Y6
INT_BRAM
INT_BRAM_X25Y6
TIEOFF TIEOFF_X45Y12
INT_INTERFACE
INT_INTERFACE_X25Y6
NULL
NULL_X60Y8
INT
INT_X26Y6
TIEOFF TIEOFF_X46Y12
CLEXL
CLEXL_X26Y6
SLICEL SLICE_X42Y6
SLICEX SLICE_X43Y6
INT
INT_X27Y6
TIEOFF TIEOFF_X48Y12
CLEXM
CLEXM_X27Y6
SLICEM SLICE_X44Y6
SLICEX SLICE_X45Y6
INT
INT_X28Y6
TIEOFF TIEOFF_X50Y12
CLEXL
CLEXL_X28Y6
SLICEL SLICE_X46Y6
SLICEX SLICE_X47Y6
INT
INT_X29Y6
TIEOFF TIEOFF_X51Y12
CLEXM
CLEXM_X29Y6
SLICEM SLICE_X48Y6
SLICEX SLICE_X49Y6
INT
INT_X30Y6
TIEOFF TIEOFF_X53Y12
CLEXL
CLEXL_X30Y6
SLICEL SLICE_X50Y6
SLICEX SLICE_X51Y6
INT
INT_X31Y6
TIEOFF TIEOFF_X55Y12
INT_INTERFACE
INT_INTERFACE_X31Y6
NULL
NULL_X73Y8
INT
INT_X32Y6
TIEOFF TIEOFF_X56Y12
CLEXM
CLEXM_X32Y6
SLICEM SLICE_X52Y6
SLICEX SLICE_X53Y6
INT
INT_X33Y6
TIEOFF TIEOFF_X58Y12
CLEXL
CLEXL_X33Y6
SLICEL SLICE_X54Y6
SLICEX SLICE_X55Y6
INT_BRAM
INT_BRAM_X34Y6
TIEOFF TIEOFF_X60Y12
INT_INTERFACE
INT_INTERFACE_X34Y6
NULL
NULL_X80Y8
INT
INT_X35Y6
TIEOFF TIEOFF_X61Y12
CLEXM
CLEXM_X35Y6
SLICEM SLICE_X56Y6
SLICEX SLICE_X57Y6
INT
INT_X36Y6
TIEOFF TIEOFF_X63Y12
CLEXL
CLEXL_X36Y6
SLICEL SLICE_X58Y6
SLICEX SLICE_X59Y6
INT
INT_X37Y6
TIEOFF TIEOFF_X65Y12
INT_INTERFACE
INT_INTERFACE_X37Y6
MCB_CAP_INT
MCB_CAP_INT_X37Y6
IOI_RTERM
IOI_RTERM_X88Y8
EMP_RIOB
EMP_RIOB_X37Y6
LIOB
LIOB_X0Y5
IOBM W4
IOBS Y3
IOI_LTERM
IOI_LTERM_X1Y7
LIOI_INT
LIOI_INT_X0Y5
TIEOFF TIEOFF_X0Y10
LIOI
LIOI_X0Y5
OLOGIC2 OLOGIC_X0Y6
IODELAY2 IODELAY_X0Y6
ILOGIC2 ILOGIC_X0Y6
OLOGIC2 OLOGIC_X0Y7
IODELAY2 IODELAY_X0Y7
ILOGIC2 ILOGIC_X0Y7
TIEOFF TIEOFF_X1Y10
MCB_CAP_INT
MCB_CAP_INT_X0Y5
INT
INT_X1Y5
TIEOFF TIEOFF_X2Y10
CLEXL
CLEXL_X1Y5
SLICEL SLICE_X0Y5
SLICEX SLICE_X1Y5
INT
INT_X2Y5
TIEOFF TIEOFF_X4Y10
CLEXM
CLEXM_X2Y5
SLICEM SLICE_X2Y5
SLICEX SLICE_X3Y5
INT_BRAM
INT_BRAM_X3Y5
TIEOFF TIEOFF_X6Y10
INT_INTERFACE
INT_INTERFACE_X3Y5
NULL
NULL_X11Y7
INT
INT_X4Y5
TIEOFF TIEOFF_X7Y10
CLEXL
CLEXL_X4Y5
SLICEL SLICE_X4Y5
SLICEX SLICE_X5Y5
INT
INT_X5Y5
TIEOFF TIEOFF_X9Y10
CLEXM
CLEXM_X5Y5
SLICEM SLICE_X6Y5
SLICEX SLICE_X7Y5
INT
INT_X6Y5
TIEOFF TIEOFF_X11Y10
INT_INTERFACE
INT_INTERFACE_X6Y5
NULL
NULL_X18Y7
INT
INT_X7Y5
TIEOFF TIEOFF_X12Y10
CLEXL
CLEXL_X7Y5
SLICEL SLICE_X8Y5
SLICEX SLICE_X9Y5
INT
INT_X8Y5
TIEOFF TIEOFF_X14Y10
CLEXM
CLEXM_X8Y5
SLICEM SLICE_X10Y5
SLICEX SLICE_X11Y5
INT
INT_X9Y5
TIEOFF TIEOFF_X16Y10
CLEXL
CLEXL_X9Y5
SLICEL SLICE_X12Y5
SLICEX SLICE_X13Y5
INT
INT_X10Y5
TIEOFF TIEOFF_X17Y10
CLEXM
CLEXM_X10Y5
SLICEM SLICE_X14Y5
SLICEX SLICE_X15Y5
INT
INT_X11Y5
TIEOFF TIEOFF_X19Y10
CLEXL
CLEXL_X11Y5
SLICEL SLICE_X16Y5
SLICEX SLICE_X17Y5
INT_BRAM
INT_BRAM_X12Y5
TIEOFF TIEOFF_X21Y10
INT_INTERFACE
INT_INTERFACE_X12Y5
NULL
NULL_X31Y7
INT
INT_X13Y5
TIEOFF TIEOFF_X22Y10
CLEXM
CLEXM_X13Y5
SLICEM SLICE_X18Y5
SLICEX SLICE_X19Y5
INT
INT_X14Y5
TIEOFF TIEOFF_X24Y10
CLEXL
CLEXL_X14Y5
SLICEL SLICE_X20Y5
SLICEX SLICE_X21Y5
INT
INT_X15Y5
TIEOFF TIEOFF_X26Y10
CLEXM
CLEXM_X15Y5
SLICEM SLICE_X22Y5
SLICEX SLICE_X23Y5
INT
INT_X16Y5
TIEOFF TIEOFF_X28Y10
CLEXL
CLEXL_X16Y5
SLICEL SLICE_X24Y5
SLICEX SLICE_X25Y5
INT
INT_X17Y5
TIEOFF TIEOFF_X29Y10
CLEXM
CLEXM_X17Y5
SLICEM SLICE_X26Y5
SLICEX SLICE_X27Y5
INT
INT_X18Y5
TIEOFF TIEOFF_X31Y10
CLEXL
CLEXL_X18Y5
SLICEL SLICE_X28Y5
SLICEX SLICE_X29Y5
NULL
NULL_X44Y7
REG_V
REG_V_X18Y5
INT
INT_X19Y5
TIEOFF TIEOFF_X34Y10
CLEXM
CLEXM_X19Y5
SLICEM SLICE_X30Y5
SLICEX SLICE_X31Y5
INT
INT_X20Y5
TIEOFF TIEOFF_X36Y10
CLEXL
CLEXL_X20Y5
SLICEL SLICE_X32Y5
SLICEX SLICE_X33Y5
INT
INT_X21Y5
TIEOFF TIEOFF_X38Y10
CLEXM
CLEXM_X21Y5
SLICEM SLICE_X34Y5
SLICEX SLICE_X35Y5
INT
INT_X22Y5
TIEOFF TIEOFF_X39Y10
CLEXL
CLEXL_X22Y5
SLICEL SLICE_X36Y5
SLICEX SLICE_X37Y5
INT
INT_X23Y5
TIEOFF TIEOFF_X41Y10
CLEXM
CLEXM_X23Y5
SLICEM SLICE_X38Y5
SLICEX SLICE_X39Y5
INT
INT_X24Y5
TIEOFF TIEOFF_X43Y10
CLEXL
CLEXL_X24Y5
SLICEL SLICE_X40Y5
SLICEX SLICE_X41Y5
INT_BRAM
INT_BRAM_X25Y5
TIEOFF TIEOFF_X45Y10
INT_INTERFACE
INT_INTERFACE_X25Y5
NULL
NULL_X60Y7
INT
INT_X26Y5
TIEOFF TIEOFF_X46Y10
CLEXL
CLEXL_X26Y5
SLICEL SLICE_X42Y5
SLICEX SLICE_X43Y5
INT
INT_X27Y5
TIEOFF TIEOFF_X48Y10
CLEXM
CLEXM_X27Y5
SLICEM SLICE_X44Y5
SLICEX SLICE_X45Y5
INT
INT_X28Y5
TIEOFF TIEOFF_X50Y10
CLEXL
CLEXL_X28Y5
SLICEL SLICE_X46Y5
SLICEX SLICE_X47Y5
INT
INT_X29Y5
TIEOFF TIEOFF_X51Y10
CLEXM
CLEXM_X29Y5
SLICEM SLICE_X48Y5
SLICEX SLICE_X49Y5
INT
INT_X30Y5
TIEOFF TIEOFF_X53Y10
CLEXL
CLEXL_X30Y5
SLICEL SLICE_X50Y5
SLICEX SLICE_X51Y5
INT
INT_X31Y5
TIEOFF TIEOFF_X55Y10
INT_INTERFACE
INT_INTERFACE_X31Y5
NULL
NULL_X73Y7
INT
INT_X32Y5
TIEOFF TIEOFF_X56Y10
CLEXM
CLEXM_X32Y5
SLICEM SLICE_X52Y5
SLICEX SLICE_X53Y5
INT
INT_X33Y5
TIEOFF TIEOFF_X58Y10
CLEXL
CLEXL_X33Y5
SLICEL SLICE_X54Y5
SLICEX SLICE_X55Y5
INT_BRAM
INT_BRAM_X34Y5
TIEOFF TIEOFF_X60Y10
INT_INTERFACE
INT_INTERFACE_X34Y5
NULL
NULL_X80Y7
INT
INT_X35Y5
TIEOFF TIEOFF_X61Y10
CLEXM
CLEXM_X35Y5
SLICEM SLICE_X56Y5
SLICEX SLICE_X57Y5
INT
INT_X36Y5
TIEOFF TIEOFF_X63Y10
CLEXL
CLEXL_X36Y5
SLICEL SLICE_X58Y5
SLICEX SLICE_X59Y5
IOI_INT
IOI_INT_X37Y5
TIEOFF TIEOFF_X65Y10
RIOI
RIOI_X37Y5
OLOGIC2 OLOGIC_X27Y6
IODELAY2 IODELAY_X27Y6
ILOGIC2 ILOGIC_X27Y6
OLOGIC2 OLOGIC_X27Y7
IODELAY2 IODELAY_X27Y7
ILOGIC2 ILOGIC_X27Y7
TIEOFF TIEOFF_X66Y10
MCB_CAP_INT
MCB_CAP_INT_X37Y5
IOI_RTERM
IOI_RTERM_X88Y7
RIOB
RIOB_X37Y5
IOBS T18
IOBM T19
EMP_LIOB
EMP_LIOB_X0Y6
IOI_LTERM
IOI_LTERM_X1Y6
INT
INT_X0Y4
TIEOFF TIEOFF_X0Y8
INT_INTERFACE
INT_INTERFACE_X0Y4
MCB_CAP_INT
MCB_CAP_INT_X0Y4
INT
INT_X1Y4
TIEOFF TIEOFF_X2Y8
CLEXL
CLEXL_X1Y4
SLICEL SLICE_X0Y4
SLICEX SLICE_X1Y4
INT
INT_X2Y4
TIEOFF TIEOFF_X4Y8
CLEXM
CLEXM_X2Y4
SLICEM SLICE_X2Y4
SLICEX SLICE_X3Y4
INT_BRAM
INT_BRAM_X3Y4
TIEOFF TIEOFF_X6Y8
INT_INTERFACE
INT_INTERFACE_X3Y4
BRAMSITE2
BRAMSITE2_X3Y4
RAMB16BWER RAMB16_X0Y2
RAMB8BWER RAMB8_X0Y2
RAMB8BWER RAMB8_X0Y3
INT
INT_X4Y4
TIEOFF TIEOFF_X7Y8
CLEXL
CLEXL_X4Y4
SLICEL SLICE_X4Y4
SLICEX SLICE_X5Y4
INT
INT_X5Y4
TIEOFF TIEOFF_X9Y8
CLEXM
CLEXM_X5Y4
SLICEM SLICE_X6Y4
SLICEX SLICE_X7Y4
INT
INT_X6Y4
TIEOFF TIEOFF_X11Y8
INT_INTERFACE
INT_INTERFACE_X6Y4
MACCSITE2
MACCSITE2_X6Y4
DSP48A1 DSP48_X0Y1
INT
INT_X7Y4
TIEOFF TIEOFF_X12Y8
CLEXL
CLEXL_X7Y4
SLICEL SLICE_X8Y4
SLICEX SLICE_X9Y4
INT
INT_X8Y4
TIEOFF TIEOFF_X14Y8
CLEXM
CLEXM_X8Y4
SLICEM SLICE_X10Y4
SLICEX SLICE_X11Y4
INT
INT_X9Y4
TIEOFF TIEOFF_X16Y8
CLEXL
CLEXL_X9Y4
SLICEL SLICE_X12Y4
SLICEX SLICE_X13Y4
INT
INT_X10Y4
TIEOFF TIEOFF_X17Y8
CLEXM
CLEXM_X10Y4
SLICEM SLICE_X14Y4
SLICEX SLICE_X15Y4
INT
INT_X11Y4
TIEOFF TIEOFF_X19Y8
CLEXL
CLEXL_X11Y4
SLICEL SLICE_X16Y4
SLICEX SLICE_X17Y4
INT_BRAM
INT_BRAM_X12Y4
TIEOFF TIEOFF_X21Y8
INT_INTERFACE
INT_INTERFACE_X12Y4
BRAMSITE2
BRAMSITE2_X12Y4
RAMB16BWER RAMB16_X1Y2
RAMB8BWER RAMB8_X1Y2
RAMB8BWER RAMB8_X1Y3
INT
INT_X13Y4
TIEOFF TIEOFF_X22Y8
CLEXM
CLEXM_X13Y4
SLICEM SLICE_X18Y4
SLICEX SLICE_X19Y4
INT
INT_X14Y4
TIEOFF TIEOFF_X24Y8
CLEXL
CLEXL_X14Y4
SLICEL SLICE_X20Y4
SLICEX SLICE_X21Y4
INT
INT_X15Y4
TIEOFF TIEOFF_X26Y8
CLEXM
CLEXM_X15Y4
SLICEM SLICE_X22Y4
SLICEX SLICE_X23Y4
INT
INT_X16Y4
TIEOFF TIEOFF_X28Y8
CLEXL
CLEXL_X16Y4
SLICEL SLICE_X24Y4
SLICEX SLICE_X25Y4
INT
INT_X17Y4
TIEOFF TIEOFF_X29Y8
CLEXM
CLEXM_X17Y4
SLICEM SLICE_X26Y4
SLICEX SLICE_X27Y4
INT
INT_X18Y4
TIEOFF TIEOFF_X31Y8
CLEXL
CLEXL_X18Y4
SLICEL SLICE_X28Y4
SLICEX SLICE_X29Y4
NULL
NULL_X44Y6
REG_V
REG_V_X18Y4
INT
INT_X19Y4
TIEOFF TIEOFF_X34Y8
CLEXM
CLEXM_X19Y4
SLICEM SLICE_X30Y4
SLICEX SLICE_X31Y4
INT
INT_X20Y4
TIEOFF TIEOFF_X36Y8
CLEXL
CLEXL_X20Y4
SLICEL SLICE_X32Y4
SLICEX SLICE_X33Y4
INT
INT_X21Y4
TIEOFF TIEOFF_X38Y8
CLEXM
CLEXM_X21Y4
SLICEM SLICE_X34Y4
SLICEX SLICE_X35Y4
INT
INT_X22Y4
TIEOFF TIEOFF_X39Y8
CLEXL
CLEXL_X22Y4
SLICEL SLICE_X36Y4
SLICEX SLICE_X37Y4
INT
INT_X23Y4
TIEOFF TIEOFF_X41Y8
CLEXM
CLEXM_X23Y4
SLICEM SLICE_X38Y4
SLICEX SLICE_X39Y4
INT
INT_X24Y4
TIEOFF TIEOFF_X43Y8
CLEXL
CLEXL_X24Y4
SLICEL SLICE_X40Y4
SLICEX SLICE_X41Y4
INT_BRAM
INT_BRAM_X25Y4
TIEOFF TIEOFF_X45Y8
INT_INTERFACE
INT_INTERFACE_X25Y4
BRAMSITE2
BRAMSITE2_X25Y4
RAMB16BWER RAMB16_X2Y2
RAMB8BWER RAMB8_X2Y2
RAMB8BWER RAMB8_X2Y3
INT
INT_X26Y4
TIEOFF TIEOFF_X46Y8
CLEXL
CLEXL_X26Y4
SLICEL SLICE_X42Y4
SLICEX SLICE_X43Y4
INT
INT_X27Y4
TIEOFF TIEOFF_X48Y8
CLEXM
CLEXM_X27Y4
SLICEM SLICE_X44Y4
SLICEX SLICE_X45Y4
INT
INT_X28Y4
TIEOFF TIEOFF_X50Y8
CLEXL
CLEXL_X28Y4
SLICEL SLICE_X46Y4
SLICEX SLICE_X47Y4
INT
INT_X29Y4
TIEOFF TIEOFF_X51Y8
CLEXM
CLEXM_X29Y4
SLICEM SLICE_X48Y4
SLICEX SLICE_X49Y4
INT
INT_X30Y4
TIEOFF TIEOFF_X53Y8
CLEXL
CLEXL_X30Y4
SLICEL SLICE_X50Y4
SLICEX SLICE_X51Y4
INT
INT_X31Y4
TIEOFF TIEOFF_X55Y8
INT_INTERFACE
INT_INTERFACE_X31Y4
MACCSITE2
MACCSITE2_X31Y4
DSP48A1 DSP48_X1Y1
INT
INT_X32Y4
TIEOFF TIEOFF_X56Y8
CLEXM
CLEXM_X32Y4
SLICEM SLICE_X52Y4
SLICEX SLICE_X53Y4
INT
INT_X33Y4
TIEOFF TIEOFF_X58Y8
CLEXL
CLEXL_X33Y4
SLICEL SLICE_X54Y4
SLICEX SLICE_X55Y4
INT_BRAM
INT_BRAM_X34Y4
TIEOFF TIEOFF_X60Y8
INT_INTERFACE
INT_INTERFACE_X34Y4
BRAMSITE2
BRAMSITE2_X34Y4
RAMB16BWER RAMB16_X3Y2
RAMB8BWER RAMB8_X3Y2
RAMB8BWER RAMB8_X3Y3
INT
INT_X35Y4
TIEOFF TIEOFF_X61Y8
CLEXM
CLEXM_X35Y4
SLICEM SLICE_X56Y4
SLICEX SLICE_X57Y4
INT
INT_X36Y4
TIEOFF TIEOFF_X63Y8
CLEXL
CLEXL_X36Y4
SLICEL SLICE_X58Y4
SLICEX SLICE_X59Y4
INT
INT_X37Y4
TIEOFF TIEOFF_X65Y8
INT_INTERFACE
INT_INTERFACE_X37Y4
MCB_CAP_INT
MCB_CAP_INT_X37Y4
IOI_RTERM
IOI_RTERM_X88Y6
EMP_RIOB
EMP_RIOB_X37Y4
LIOB
LIOB_X0Y3
IOBM R7
IOBS P8
IOI_LTERM
IOI_LTERM_X1Y5
LIOI_INT
LIOI_INT_X0Y3
TIEOFF TIEOFF_X0Y6
LIOI
LIOI_X0Y3
OLOGIC2 OLOGIC_X0Y4
IODELAY2 IODELAY_X0Y4
ILOGIC2 ILOGIC_X0Y4
OLOGIC2 OLOGIC_X0Y5
IODELAY2 IODELAY_X0Y5
ILOGIC2 ILOGIC_X0Y5
TIEOFF TIEOFF_X1Y6
MCB_CAP_INT
MCB_CAP_INT_X0Y3
INT
INT_X1Y3
TIEOFF TIEOFF_X2Y6
CLEXL
CLEXL_X1Y3
SLICEL SLICE_X0Y3
SLICEX SLICE_X1Y3
INT
INT_X2Y3
TIEOFF TIEOFF_X4Y6
CLEXM
CLEXM_X2Y3
SLICEM SLICE_X2Y3
SLICEX SLICE_X3Y3
INT_BRAM
INT_BRAM_X3Y3
TIEOFF TIEOFF_X6Y6
INT_INTERFACE
INT_INTERFACE_X3Y3
NULL
NULL_X11Y5
INT
INT_X4Y3
TIEOFF TIEOFF_X7Y6
CLEXL
CLEXL_X4Y3
SLICEL SLICE_X4Y3
SLICEX SLICE_X5Y3
INT
INT_X5Y3
TIEOFF TIEOFF_X9Y6
CLEXM
CLEXM_X5Y3
SLICEM SLICE_X6Y3
SLICEX SLICE_X7Y3
INT
INT_X6Y3
TIEOFF TIEOFF_X11Y6
INT_INTERFACE
INT_INTERFACE_X6Y3
NULL
NULL_X18Y5
INT
INT_X7Y3
TIEOFF TIEOFF_X12Y6
CLEXL
CLEXL_X7Y3
SLICEL SLICE_X8Y3
SLICEX SLICE_X9Y3
INT
INT_X8Y3
TIEOFF TIEOFF_X14Y6
CLEXM
CLEXM_X8Y3
SLICEM SLICE_X10Y3
SLICEX SLICE_X11Y3
INT
INT_X9Y3
TIEOFF TIEOFF_X16Y6
CLEXL
CLEXL_X9Y3
SLICEL SLICE_X12Y3
SLICEX SLICE_X13Y3
INT
INT_X10Y3
TIEOFF TIEOFF_X17Y6
CLEXM
CLEXM_X10Y3
SLICEM SLICE_X14Y3
SLICEX SLICE_X15Y3
INT
INT_X11Y3
TIEOFF TIEOFF_X19Y6
CLEXL
CLEXL_X11Y3
SLICEL SLICE_X16Y3
SLICEX SLICE_X17Y3
INT_BRAM
INT_BRAM_X12Y3
TIEOFF TIEOFF_X21Y6
INT_INTERFACE
INT_INTERFACE_X12Y3
NULL
NULL_X31Y5
INT
INT_X13Y3
TIEOFF TIEOFF_X22Y6
CLEXM
CLEXM_X13Y3
SLICEM SLICE_X18Y3
SLICEX SLICE_X19Y3
INT
INT_X14Y3
TIEOFF TIEOFF_X24Y6
CLEXL
CLEXL_X14Y3
SLICEL SLICE_X20Y3
SLICEX SLICE_X21Y3
INT
INT_X15Y3
TIEOFF TIEOFF_X26Y6
CLEXM
CLEXM_X15Y3
SLICEM SLICE_X22Y3
SLICEX SLICE_X23Y3
INT
INT_X16Y3
TIEOFF TIEOFF_X28Y6
CLEXL
CLEXL_X16Y3
SLICEL SLICE_X24Y3
SLICEX SLICE_X25Y3
INT
INT_X17Y3
TIEOFF TIEOFF_X29Y6
CLEXM
CLEXM_X17Y3
SLICEM SLICE_X26Y3
SLICEX SLICE_X27Y3
INT
INT_X18Y3
TIEOFF TIEOFF_X31Y6
CLEXL
CLEXL_X18Y3
SLICEL SLICE_X28Y3
SLICEX SLICE_X29Y3
NULL
NULL_X44Y5
REG_V
REG_V_X18Y3
INT
INT_X19Y3
TIEOFF TIEOFF_X34Y6
CLEXM
CLEXM_X19Y3
SLICEM SLICE_X30Y3
SLICEX SLICE_X31Y3
INT
INT_X20Y3
TIEOFF TIEOFF_X36Y6
CLEXL
CLEXL_X20Y3
SLICEL SLICE_X32Y3
SLICEX SLICE_X33Y3
INT
INT_X21Y3
TIEOFF TIEOFF_X38Y6
CLEXM
CLEXM_X21Y3
SLICEM SLICE_X34Y3
SLICEX SLICE_X35Y3
INT
INT_X22Y3
TIEOFF TIEOFF_X39Y6
CLEXL
CLEXL_X22Y3
SLICEL SLICE_X36Y3
SLICEX SLICE_X37Y3
INT
INT_X23Y3
TIEOFF TIEOFF_X41Y6
CLEXM
CLEXM_X23Y3
SLICEM SLICE_X38Y3
SLICEX SLICE_X39Y3
INT
INT_X24Y3
TIEOFF TIEOFF_X43Y6
CLEXL
CLEXL_X24Y3
SLICEL SLICE_X40Y3
SLICEX SLICE_X41Y3
INT_BRAM
INT_BRAM_X25Y3
TIEOFF TIEOFF_X45Y6
INT_INTERFACE
INT_INTERFACE_X25Y3
NULL
NULL_X60Y5
INT
INT_X26Y3
TIEOFF TIEOFF_X46Y6
CLEXL
CLEXL_X26Y3
SLICEL SLICE_X42Y3
SLICEX SLICE_X43Y3
INT
INT_X27Y3
TIEOFF TIEOFF_X48Y6
CLEXM
CLEXM_X27Y3
SLICEM SLICE_X44Y3
SLICEX SLICE_X45Y3
INT
INT_X28Y3
TIEOFF TIEOFF_X50Y6
CLEXL
CLEXL_X28Y3
SLICEL SLICE_X46Y3
SLICEX SLICE_X47Y3
INT
INT_X29Y3
TIEOFF TIEOFF_X51Y6
CLEXM
CLEXM_X29Y3
SLICEM SLICE_X48Y3
SLICEX SLICE_X49Y3
INT
INT_X30Y3
TIEOFF TIEOFF_X53Y6
CLEXL
CLEXL_X30Y3
SLICEL SLICE_X50Y3
SLICEX SLICE_X51Y3
INT
INT_X31Y3
TIEOFF TIEOFF_X55Y6
INT_INTERFACE
INT_INTERFACE_X31Y3
NULL
NULL_X73Y5
INT
INT_X32Y3
TIEOFF TIEOFF_X56Y6
CLEXM
CLEXM_X32Y3
SLICEM SLICE_X52Y3
SLICEX SLICE_X53Y3
INT
INT_X33Y3
TIEOFF TIEOFF_X58Y6
CLEXL
CLEXL_X33Y3
SLICEL SLICE_X54Y3
SLICEX SLICE_X55Y3
INT_BRAM
INT_BRAM_X34Y3
TIEOFF TIEOFF_X60Y6
INT_INTERFACE
INT_INTERFACE_X34Y3
NULL
NULL_X80Y5
INT
INT_X35Y3
TIEOFF TIEOFF_X61Y6
CLEXM
CLEXM_X35Y3
SLICEM SLICE_X56Y3
SLICEX SLICE_X57Y3
INT
INT_X36Y3
TIEOFF TIEOFF_X63Y6
CLEXL
CLEXL_X36Y3
SLICEL SLICE_X58Y3
SLICEX SLICE_X59Y3
IOI_INT
IOI_INT_X37Y3
TIEOFF TIEOFF_X65Y6
RIOI
RIOI_X37Y3
OLOGIC2 OLOGIC_X27Y4
IODELAY2 IODELAY_X27Y4
ILOGIC2 ILOGIC_X27Y4
OLOGIC2 OLOGIC_X27Y5
IODELAY2 IODELAY_X27Y5
ILOGIC2 ILOGIC_X27Y5
TIEOFF TIEOFF_X66Y6
MCB_CAP_INT
MCB_CAP_INT_X37Y3
IOI_RTERM
IOI_RTERM_X88Y5
RIOB
RIOB_X37Y3
IOBS V20
IOBM V19
EMP_LIOB
EMP_LIOB_X0Y4
IOI_LTERM
IOI_LTERM_X1Y4
INT
INT_X0Y2
TIEOFF TIEOFF_X0Y4
INT_INTERFACE
INT_INTERFACE_X0Y2
MCB_CAP_INT
MCB_CAP_INT_X0Y2
INT
INT_X1Y2
TIEOFF TIEOFF_X2Y4
CLEXL
CLEXL_X1Y2
SLICEL SLICE_X0Y2
SLICEX SLICE_X1Y2
INT
INT_X2Y2
TIEOFF TIEOFF_X4Y4
CLEXM
CLEXM_X2Y2
SLICEM SLICE_X2Y2
SLICEX SLICE_X3Y2
INT_BRAM
INT_BRAM_X3Y2
TIEOFF TIEOFF_X6Y4
INT_INTERFACE
INT_INTERFACE_X3Y2
NULL
NULL_X11Y4
INT
INT_X4Y2
TIEOFF TIEOFF_X7Y4
CLEXL
CLEXL_X4Y2
SLICEL SLICE_X4Y2
SLICEX SLICE_X5Y2
INT
INT_X5Y2
TIEOFF TIEOFF_X9Y4
CLEXM
CLEXM_X5Y2
SLICEM SLICE_X6Y2
SLICEX SLICE_X7Y2
INT
INT_X6Y2
TIEOFF TIEOFF_X11Y4
INT_INTERFACE
INT_INTERFACE_X6Y2
NULL
NULL_X18Y4
INT
INT_X7Y2
TIEOFF TIEOFF_X12Y4
CLEXL
CLEXL_X7Y2
SLICEL SLICE_X8Y2
SLICEX SLICE_X9Y2
INT
INT_X8Y2
TIEOFF TIEOFF_X14Y4
CLEXM
CLEXM_X8Y2
SLICEM SLICE_X10Y2
SLICEX SLICE_X11Y2
INT
INT_X9Y2
TIEOFF TIEOFF_X16Y4
CLEXL
CLEXL_X9Y2
SLICEL SLICE_X12Y2
SLICEX SLICE_X13Y2
INT
INT_X10Y2
TIEOFF TIEOFF_X17Y4
CLEXM
CLEXM_X10Y2
SLICEM SLICE_X14Y2
SLICEX SLICE_X15Y2
INT
INT_X11Y2
TIEOFF TIEOFF_X19Y4
CLEXL
CLEXL_X11Y2
SLICEL SLICE_X16Y2
SLICEX SLICE_X17Y2
INT_BRAM
INT_BRAM_X12Y2
TIEOFF TIEOFF_X21Y4
INT_INTERFACE
INT_INTERFACE_X12Y2
NULL
NULL_X31Y4
INT
INT_X13Y2
TIEOFF TIEOFF_X22Y4
CLEXM
CLEXM_X13Y2
SLICEM SLICE_X18Y2
SLICEX SLICE_X19Y2
INT
INT_X14Y2
TIEOFF TIEOFF_X24Y4
CLEXL
CLEXL_X14Y2
SLICEL SLICE_X20Y2
SLICEX SLICE_X21Y2
INT
INT_X15Y2
TIEOFF TIEOFF_X26Y4
CLEXM
CLEXM_X15Y2
SLICEM SLICE_X22Y2
SLICEX SLICE_X23Y2
INT
INT_X16Y2
TIEOFF TIEOFF_X28Y4
CLEXL
CLEXL_X16Y2
SLICEL SLICE_X24Y2
SLICEX SLICE_X25Y2
INT
INT_X17Y2
TIEOFF TIEOFF_X29Y4
CLEXM
CLEXM_X17Y2
SLICEM SLICE_X26Y2
SLICEX SLICE_X27Y2
INT
INT_X18Y2
TIEOFF TIEOFF_X31Y4
CLEXL
CLEXL_X18Y2
SLICEL SLICE_X28Y2
SLICEX SLICE_X29Y2
NULL
NULL_X44Y4
REG_V
REG_V_X18Y2
INT
INT_X19Y2
TIEOFF TIEOFF_X34Y4
CLEXM
CLEXM_X19Y2
SLICEM SLICE_X30Y2
SLICEX SLICE_X31Y2
INT
INT_X20Y2
TIEOFF TIEOFF_X36Y4
CLEXL
CLEXL_X20Y2
SLICEL SLICE_X32Y2
SLICEX SLICE_X33Y2
INT
INT_X21Y2
TIEOFF TIEOFF_X38Y4
CLEXM
CLEXM_X21Y2
SLICEM SLICE_X34Y2
SLICEX SLICE_X35Y2
INT
INT_X22Y2
TIEOFF TIEOFF_X39Y4
CLEXL
CLEXL_X22Y2
SLICEL SLICE_X36Y2
SLICEX SLICE_X37Y2
INT
INT_X23Y2
TIEOFF TIEOFF_X41Y4
CLEXM
CLEXM_X23Y2
SLICEM SLICE_X38Y2
SLICEX SLICE_X39Y2
INT
INT_X24Y2
TIEOFF TIEOFF_X43Y4
CLEXL
CLEXL_X24Y2
SLICEL SLICE_X40Y2
SLICEX SLICE_X41Y2
INT_BRAM
INT_BRAM_X25Y2
TIEOFF TIEOFF_X45Y4
INT_INTERFACE
INT_INTERFACE_X25Y2
NULL
NULL_X60Y4
INT
INT_X26Y2
TIEOFF TIEOFF_X46Y4
CLEXL
CLEXL_X26Y2
SLICEL SLICE_X42Y2
SLICEX SLICE_X43Y2
INT
INT_X27Y2
TIEOFF TIEOFF_X48Y4
CLEXM
CLEXM_X27Y2
SLICEM SLICE_X44Y2
SLICEX SLICE_X45Y2
INT
INT_X28Y2
TIEOFF TIEOFF_X50Y4
CLEXL
CLEXL_X28Y2
SLICEL SLICE_X46Y2
SLICEX SLICE_X47Y2
INT
INT_X29Y2
TIEOFF TIEOFF_X51Y4
CLEXM
CLEXM_X29Y2
SLICEM SLICE_X48Y2
SLICEX SLICE_X49Y2
INT
INT_X30Y2
TIEOFF TIEOFF_X53Y4
CLEXL
CLEXL_X30Y2
SLICEL SLICE_X50Y2
SLICEX SLICE_X51Y2
INT
INT_X31Y2
TIEOFF TIEOFF_X55Y4
INT_INTERFACE
INT_INTERFACE_X31Y2
NULL
NULL_X73Y4
INT
INT_X32Y2
TIEOFF TIEOFF_X56Y4
CLEXM
CLEXM_X32Y2
SLICEM SLICE_X52Y2
SLICEX SLICE_X53Y2
INT
INT_X33Y2
TIEOFF TIEOFF_X58Y4
CLEXL
CLEXL_X33Y2
SLICEL SLICE_X54Y2
SLICEX SLICE_X55Y2
INT_BRAM
INT_BRAM_X34Y2
TIEOFF TIEOFF_X60Y4
INT_INTERFACE
INT_INTERFACE_X34Y2
NULL
NULL_X80Y4
INT
INT_X35Y2
TIEOFF TIEOFF_X61Y4
CLEXM
CLEXM_X35Y2
SLICEM SLICE_X56Y2
SLICEX SLICE_X57Y2
INT
INT_X36Y2
TIEOFF TIEOFF_X63Y4
CLEXL
CLEXL_X36Y2
SLICEL SLICE_X58Y2
SLICEX SLICE_X59Y2
INT
INT_X37Y2
TIEOFF TIEOFF_X65Y4
INT_INTERFACE
INT_INTERFACE_X37Y2
MCB_CAP_INT
MCB_CAP_INT_X37Y2
IOI_RTERM
IOI_RTERM_X88Y4
EMP_RIOB
EMP_RIOB_X37Y2
EMP_LIOB
EMP_LIOB_X0Y3
IOI_LTERM
IOI_LTERM_X1Y3
INT
INT_X0Y1
TIEOFF TIEOFF_X0Y2
INT_INTERFACE
INT_INTERFACE_X0Y1
MCB_CAP_INT
MCB_CAP_INT_X0Y1
IOI_INT
IOI_INT_X1Y1
TIEOFF TIEOFF_X2Y2
BIOI_INNER
BIOI_INNER_X1Y1
OLOGIC2 OLOGIC_X1Y2
IODELAY2 IODELAY_X1Y2
ILOGIC2 ILOGIC_X1Y2
OLOGIC2 OLOGIC_X1Y3
IODELAY2 IODELAY_X1Y3
ILOGIC2 ILOGIC_X1Y3
TIEOFF TIEOFF_X3Y2
IOI_INT
IOI_INT_X2Y1
TIEOFF TIEOFF_X4Y2
BIOI_INNER
BIOI_INNER_X2Y1
OLOGIC2 OLOGIC_X2Y2
IODELAY2 IODELAY_X2Y2
ILOGIC2 ILOGIC_X2Y2
OLOGIC2 OLOGIC_X2Y3
IODELAY2 IODELAY_X2Y3
ILOGIC2 ILOGIC_X2Y3
TIEOFF TIEOFF_X5Y2
INT_BRAM
INT_BRAM_X3Y1
TIEOFF TIEOFF_X6Y2
INT_INTERFACE
INT_INTERFACE_X3Y1
NULL
NULL_X11Y3
IOI_INT
IOI_INT_X4Y1
TIEOFF TIEOFF_X7Y2
BIOI_INNER
BIOI_INNER_X4Y1
OLOGIC2 OLOGIC_X3Y2
IODELAY2 IODELAY_X3Y2
ILOGIC2 ILOGIC_X3Y2
OLOGIC2 OLOGIC_X3Y3
IODELAY2 IODELAY_X3Y3
ILOGIC2 ILOGIC_X3Y3
TIEOFF TIEOFF_X8Y2
IOI_INT
IOI_INT_X5Y1
TIEOFF TIEOFF_X9Y2
BIOI_INNER
BIOI_INNER_X5Y1
OLOGIC2 OLOGIC_X4Y2
IODELAY2 IODELAY_X4Y2
ILOGIC2 ILOGIC_X4Y2
OLOGIC2 OLOGIC_X4Y3
IODELAY2 IODELAY_X4Y3
ILOGIC2 ILOGIC_X4Y3
TIEOFF TIEOFF_X10Y2
INT
INT_X6Y1
TIEOFF TIEOFF_X11Y2
INT_INTERFACE
INT_INTERFACE_X6Y1
NULL
NULL_X18Y3
IOI_INT
IOI_INT_X7Y1
TIEOFF TIEOFF_X12Y2
BIOI_INNER
BIOI_INNER_X7Y1
OLOGIC2 OLOGIC_X5Y2
IODELAY2 IODELAY_X5Y2
ILOGIC2 ILOGIC_X5Y2
OLOGIC2 OLOGIC_X5Y3
IODELAY2 IODELAY_X5Y3
ILOGIC2 ILOGIC_X5Y3
TIEOFF TIEOFF_X13Y2
IOI_INT
IOI_INT_X8Y1
TIEOFF TIEOFF_X14Y2
BIOI_INNER
BIOI_INNER_X8Y1
OLOGIC2 OLOGIC_X6Y2
IODELAY2 IODELAY_X6Y2
ILOGIC2 ILOGIC_X6Y2
OLOGIC2 OLOGIC_X6Y3
IODELAY2 IODELAY_X6Y3
ILOGIC2 ILOGIC_X6Y3
TIEOFF TIEOFF_X15Y2
INT
INT_X9Y1
TIEOFF TIEOFF_X16Y2
CLEXL
CLEXL_X9Y1
SLICEL SLICE_X12Y1
SLICEX SLICE_X13Y1
IOI_INT
IOI_INT_X10Y1
TIEOFF TIEOFF_X17Y2
BIOI_INNER
BIOI_INNER_X10Y1
OLOGIC2 OLOGIC_X7Y2
IODELAY2 IODELAY_X7Y2
ILOGIC2 ILOGIC_X7Y2
OLOGIC2 OLOGIC_X7Y3
IODELAY2 IODELAY_X7Y3
ILOGIC2 ILOGIC_X7Y3
TIEOFF TIEOFF_X18Y2
IOI_INT
IOI_INT_X11Y1
TIEOFF TIEOFF_X19Y2
BIOI_INNER
BIOI_INNER_X11Y1
OLOGIC2 OLOGIC_X8Y2
IODELAY2 IODELAY_X8Y2
ILOGIC2 ILOGIC_X8Y2
OLOGIC2 OLOGIC_X8Y3
IODELAY2 IODELAY_X8Y3
ILOGIC2 ILOGIC_X8Y3
TIEOFF TIEOFF_X20Y2
INT_BRAM
INT_BRAM_X12Y1
TIEOFF TIEOFF_X21Y2
INT_INTERFACE
INT_INTERFACE_X12Y1
NULL
NULL_X31Y3
IOI_INT
IOI_INT_X13Y1
TIEOFF TIEOFF_X22Y2
BIOI_INNER
BIOI_INNER_X13Y1
OLOGIC2 OLOGIC_X9Y2
IODELAY2 IODELAY_X9Y2
ILOGIC2 ILOGIC_X9Y2
OLOGIC2 OLOGIC_X9Y3
IODELAY2 IODELAY_X9Y3
ILOGIC2 ILOGIC_X9Y3
TIEOFF TIEOFF_X23Y2
IOI_INT
IOI_INT_X14Y1
TIEOFF TIEOFF_X24Y2
BIOI_INNER
BIOI_INNER_X14Y1
OLOGIC2 OLOGIC_X10Y2
IODELAY2 IODELAY_X10Y2
ILOGIC2 ILOGIC_X10Y2
OLOGIC2 OLOGIC_X10Y3
IODELAY2 IODELAY_X10Y3
ILOGIC2 ILOGIC_X10Y3
TIEOFF TIEOFF_X25Y2
IOI_INT
IOI_INT_X15Y1
TIEOFF TIEOFF_X26Y2
BIOI_INNER
BIOI_INNER_X15Y1
OLOGIC2 OLOGIC_X11Y2
IODELAY2 IODELAY_X11Y2
ILOGIC2 ILOGIC_X11Y2
OLOGIC2 OLOGIC_X11Y3
IODELAY2 IODELAY_X11Y3
ILOGIC2 ILOGIC_X11Y3
TIEOFF TIEOFF_X27Y2
INT
INT_X16Y1
TIEOFF TIEOFF_X28Y2
CLEXL
CLEXL_X16Y1
SLICEL SLICE_X24Y1
SLICEX SLICE_X25Y1
IOI_INT
IOI_INT_X17Y1
TIEOFF TIEOFF_X29Y2
BIOI_INNER
BIOI_INNER_X17Y1
OLOGIC2 OLOGIC_X12Y2
IODELAY2 IODELAY_X12Y2
ILOGIC2 ILOGIC_X12Y2
OLOGIC2 OLOGIC_X12Y3
IODELAY2 IODELAY_X12Y3
ILOGIC2 ILOGIC_X12Y3
TIEOFF TIEOFF_X30Y2
IOI_INT
IOI_INT_X18Y1
TIEOFF TIEOFF_X31Y2
BIOI_INNER
BIOI_INNER_X18Y1
OLOGIC2 OLOGIC_X13Y2
IODELAY2 IODELAY_X13Y2
ILOGIC2 ILOGIC_X13Y2
OLOGIC2 OLOGIC_X13Y3
IODELAY2 IODELAY_X13Y3
ILOGIC2 ILOGIC_X13Y3
TIEOFF TIEOFF_X32Y2
NULL
NULL_X44Y3
REG_V
REG_V_X18Y1
IOI_INT
IOI_INT_X19Y1
TIEOFF TIEOFF_X34Y2
BIOI_INNER
BIOI_INNER_X19Y1
OLOGIC2 OLOGIC_X14Y2
IODELAY2 IODELAY_X14Y2
ILOGIC2 ILOGIC_X14Y2
OLOGIC2 OLOGIC_X14Y3
IODELAY2 IODELAY_X14Y3
ILOGIC2 ILOGIC_X14Y3
TIEOFF TIEOFF_X35Y2
IOI_INT
IOI_INT_X20Y1
TIEOFF TIEOFF_X36Y2
BIOI_INNER
BIOI_INNER_X20Y1
OLOGIC2 OLOGIC_X15Y2
IODELAY2 IODELAY_X15Y2
ILOGIC2 ILOGIC_X15Y2
OLOGIC2 OLOGIC_X15Y3
IODELAY2 IODELAY_X15Y3
ILOGIC2 ILOGIC_X15Y3
TIEOFF TIEOFF_X37Y2
INT
INT_X21Y1
TIEOFF TIEOFF_X38Y2
CLEXM
CLEXM_X21Y1
SLICEM SLICE_X34Y1
SLICEX SLICE_X35Y1
IOI_INT
IOI_INT_X22Y1
TIEOFF TIEOFF_X39Y2
BIOI_INNER
BIOI_INNER_X22Y1
OLOGIC2 OLOGIC_X16Y2
IODELAY2 IODELAY_X16Y2
ILOGIC2 ILOGIC_X16Y2
OLOGIC2 OLOGIC_X16Y3
IODELAY2 IODELAY_X16Y3
ILOGIC2 ILOGIC_X16Y3
TIEOFF TIEOFF_X40Y2
IOI_INT
IOI_INT_X23Y1
TIEOFF TIEOFF_X41Y2
BIOI_INNER
BIOI_INNER_X23Y1
OLOGIC2 OLOGIC_X17Y2
IODELAY2 IODELAY_X17Y2
ILOGIC2 ILOGIC_X17Y2
OLOGIC2 OLOGIC_X17Y3
IODELAY2 IODELAY_X17Y3
ILOGIC2 ILOGIC_X17Y3
TIEOFF TIEOFF_X42Y2
IOI_INT
IOI_INT_X24Y1
TIEOFF TIEOFF_X43Y2
BIOI_INNER_UNUSED
BIOI_INNER_UNUSED_X24Y1
OLOGIC2 OLOGIC_X18Y2
IODELAY2 IODELAY_X18Y2
ILOGIC2 ILOGIC_X18Y2
OLOGIC2 OLOGIC_X18Y3
IODELAY2 IODELAY_X18Y3
ILOGIC2 ILOGIC_X18Y3
TIEOFF TIEOFF_X44Y2
INT_BRAM
INT_BRAM_X25Y1
TIEOFF TIEOFF_X45Y2
INT_INTERFACE
INT_INTERFACE_X25Y1
NULL
NULL_X60Y3
IOI_INT
IOI_INT_X26Y1
TIEOFF TIEOFF_X46Y2
BIOI_INNER
BIOI_INNER_X26Y1
OLOGIC2 OLOGIC_X19Y2
IODELAY2 IODELAY_X19Y2
ILOGIC2 ILOGIC_X19Y2
OLOGIC2 OLOGIC_X19Y3
IODELAY2 IODELAY_X19Y3
ILOGIC2 ILOGIC_X19Y3
TIEOFF TIEOFF_X47Y2
IOI_INT
IOI_INT_X27Y1
TIEOFF TIEOFF_X48Y2
BIOI_INNER
BIOI_INNER_X27Y1
OLOGIC2 OLOGIC_X20Y2
IODELAY2 IODELAY_X20Y2
ILOGIC2 ILOGIC_X20Y2
OLOGIC2 OLOGIC_X20Y3
IODELAY2 IODELAY_X20Y3
ILOGIC2 ILOGIC_X20Y3
TIEOFF TIEOFF_X49Y2
INT
INT_X28Y1
TIEOFF TIEOFF_X50Y2
CLEXL
CLEXL_X28Y1
SLICEL SLICE_X46Y1
SLICEX SLICE_X47Y1
IOI_INT
IOI_INT_X29Y1
TIEOFF TIEOFF_X51Y2
BIOI_INNER
BIOI_INNER_X29Y1
OLOGIC2 OLOGIC_X21Y2
IODELAY2 IODELAY_X21Y2
ILOGIC2 ILOGIC_X21Y2
OLOGIC2 OLOGIC_X21Y3
IODELAY2 IODELAY_X21Y3
ILOGIC2 ILOGIC_X21Y3
TIEOFF TIEOFF_X52Y2
IOI_INT
IOI_INT_X30Y1
TIEOFF TIEOFF_X53Y2
BIOI_INNER
BIOI_INNER_X30Y1
OLOGIC2 OLOGIC_X22Y2
IODELAY2 IODELAY_X22Y2
ILOGIC2 ILOGIC_X22Y2
OLOGIC2 OLOGIC_X22Y3
IODELAY2 IODELAY_X22Y3
ILOGIC2 ILOGIC_X22Y3
TIEOFF TIEOFF_X54Y2
INT
INT_X31Y1
TIEOFF TIEOFF_X55Y2
INT_INTERFACE
INT_INTERFACE_X31Y1
NULL
NULL_X73Y3
IOI_INT
IOI_INT_X32Y1
TIEOFF TIEOFF_X56Y2
BIOI_INNER
BIOI_INNER_X32Y1
OLOGIC2 OLOGIC_X23Y2
IODELAY2 IODELAY_X23Y2
ILOGIC2 ILOGIC_X23Y2
OLOGIC2 OLOGIC_X23Y3
IODELAY2 IODELAY_X23Y3
ILOGIC2 ILOGIC_X23Y3
TIEOFF TIEOFF_X57Y2
IOI_INT
IOI_INT_X33Y1
TIEOFF TIEOFF_X58Y2
BIOI_INNER
BIOI_INNER_X33Y1
OLOGIC2 OLOGIC_X24Y2
IODELAY2 IODELAY_X24Y2
ILOGIC2 ILOGIC_X24Y2
OLOGIC2 OLOGIC_X24Y3
IODELAY2 IODELAY_X24Y3
ILOGIC2 ILOGIC_X24Y3
TIEOFF TIEOFF_X59Y2
INT_BRAM
INT_BRAM_X34Y1
TIEOFF TIEOFF_X60Y2
INT_INTERFACE
INT_INTERFACE_X34Y1
NULL
NULL_X80Y3
IOI_INT
IOI_INT_X35Y1
TIEOFF TIEOFF_X61Y2
BIOI_INNER
BIOI_INNER_X35Y1
OLOGIC2 OLOGIC_X25Y2
IODELAY2 IODELAY_X25Y2
ILOGIC2 ILOGIC_X25Y2
OLOGIC2 OLOGIC_X25Y3
IODELAY2 IODELAY_X25Y3
ILOGIC2 ILOGIC_X25Y3
TIEOFF TIEOFF_X62Y2
IOI_INT
IOI_INT_X36Y1
TIEOFF TIEOFF_X63Y2
BIOI_INNER
BIOI_INNER_X36Y1
OLOGIC2 OLOGIC_X26Y2
IODELAY2 IODELAY_X26Y2
ILOGIC2 ILOGIC_X26Y2
OLOGIC2 OLOGIC_X26Y3
IODELAY2 IODELAY_X26Y3
ILOGIC2 ILOGIC_X26Y3
TIEOFF TIEOFF_X64Y2
INT
INT_X37Y1
TIEOFF TIEOFF_X65Y2
LR_UPPER
LR_UPPER_X37Y1
SUSPEND_SYNC SUSPEND_SYNC
POST_CRC_INTERNAL POST_CRC_INTERNAL
STARTUP STARTUP
SLAVE_SPI SLAVE_SPI
MCB_CAP_INT
MCB_CAP_INT_X37Y1
CNR_TR_RTERM
CNR_TR_RTERM_X88Y3
NULL
NULL_X89Y3
NULL
NULL_X0Y2
CNR_TL_LTERM
CNR_TL_LTERM_X1Y2
INT
INT_X0Y0
TIEOFF TIEOFF_X0Y0
LL
LL_X0Y0
OCT_CALIBRATE OCT_CAL_X0Y0
OCT_CALIBRATE OCT_CAL_X0Y1
MCB_INT
MCB_INT_X0Y0
IOI_INT
IOI_INT_X1Y0
TIEOFF TIEOFF_X2Y0
BIOI_OUTER
BIOI_OUTER_X1Y0
OLOGIC2 OLOGIC_X1Y0
IODELAY2 IODELAY_X1Y0
ILOGIC2 ILOGIC_X1Y0
OLOGIC2 OLOGIC_X1Y1
IODELAY2 IODELAY_X1Y1
ILOGIC2 ILOGIC_X1Y1
TIEOFF TIEOFF_X3Y0
IOI_INT
IOI_INT_X2Y0
TIEOFF TIEOFF_X4Y0
BIOI_OUTER
BIOI_OUTER_X2Y0
OLOGIC2 OLOGIC_X2Y0
IODELAY2 IODELAY_X2Y0
ILOGIC2 ILOGIC_X2Y0
OLOGIC2 OLOGIC_X2Y1
IODELAY2 IODELAY_X2Y1
ILOGIC2 ILOGIC_X2Y1
TIEOFF TIEOFF_X5Y0
INT_BRAM_BRK
INT_BRAM_BRK_X3Y0
TIEOFF TIEOFF_X6Y0
INT_INTERFACE
INT_INTERFACE_X3Y0
BRAMSITE2
BRAMSITE2_X3Y0
RAMB16BWER RAMB16_X0Y0
RAMB8BWER RAMB8_X0Y0
RAMB8BWER RAMB8_X0Y1
IOI_INT
IOI_INT_X4Y0
TIEOFF TIEOFF_X7Y0
BIOI_OUTER
BIOI_OUTER_X4Y0
OLOGIC2 OLOGIC_X3Y0
IODELAY2 IODELAY_X3Y0
ILOGIC2 ILOGIC_X3Y0
OLOGIC2 OLOGIC_X3Y1
IODELAY2 IODELAY_X3Y1
ILOGIC2 ILOGIC_X3Y1
TIEOFF TIEOFF_X8Y0
IOI_INT
IOI_INT_X5Y0
TIEOFF TIEOFF_X9Y0
BIOI_OUTER
BIOI_OUTER_X5Y0
OLOGIC2 OLOGIC_X4Y0
IODELAY2 IODELAY_X4Y0
ILOGIC2 ILOGIC_X4Y0
OLOGIC2 OLOGIC_X4Y1
IODELAY2 IODELAY_X4Y1
ILOGIC2 ILOGIC_X4Y1
TIEOFF TIEOFF_X10Y0
INT_BRK
INT_X6Y0
TIEOFF TIEOFF_X11Y0
INT_INTERFACE
INT_INTERFACE_X6Y0
MACCSITE2
MACCSITE2_X6Y0
DSP48A1 DSP48_X0Y0
IOI_INT
IOI_INT_X7Y0
TIEOFF TIEOFF_X12Y0
BIOI_OUTER
BIOI_OUTER_X7Y0
OLOGIC2 OLOGIC_X5Y0
IODELAY2 IODELAY_X5Y0
ILOGIC2 ILOGIC_X5Y0
OLOGIC2 OLOGIC_X5Y1
IODELAY2 IODELAY_X5Y1
ILOGIC2 ILOGIC_X5Y1
TIEOFF TIEOFF_X13Y0
IOI_INT
IOI_INT_X8Y0
TIEOFF TIEOFF_X14Y0
BIOI_OUTER
BIOI_OUTER_X8Y0
OLOGIC2 OLOGIC_X6Y0
IODELAY2 IODELAY_X6Y0
ILOGIC2 ILOGIC_X6Y0
OLOGIC2 OLOGIC_X6Y1
IODELAY2 IODELAY_X6Y1
ILOGIC2 ILOGIC_X6Y1
TIEOFF TIEOFF_X15Y0
INT
INT_X9Y0
TIEOFF TIEOFF_X16Y0
CLEXL
CLEXL_X9Y0
SLICEL SLICE_X12Y0
SLICEX SLICE_X13Y0
IOI_INT
IOI_INT_X10Y0
TIEOFF TIEOFF_X17Y0
BIOI_OUTER
BIOI_OUTER_X10Y0
OLOGIC2 OLOGIC_X7Y0
IODELAY2 IODELAY_X7Y0
ILOGIC2 ILOGIC_X7Y0
OLOGIC2 OLOGIC_X7Y1
IODELAY2 IODELAY_X7Y1
ILOGIC2 ILOGIC_X7Y1
TIEOFF TIEOFF_X18Y0
IOI_INT
IOI_INT_X11Y0
TIEOFF TIEOFF_X19Y0
BIOI_OUTER
BIOI_OUTER_X11Y0
OLOGIC2 OLOGIC_X8Y0
IODELAY2 IODELAY_X8Y0
ILOGIC2 ILOGIC_X8Y0
OLOGIC2 OLOGIC_X8Y1
IODELAY2 IODELAY_X8Y1
ILOGIC2 ILOGIC_X8Y1
TIEOFF TIEOFF_X20Y0
INT_BRAM_BRK
INT_BRAM_BRK_X12Y0
TIEOFF TIEOFF_X21Y0
INT_INTERFACE
INT_INTERFACE_X12Y0
BRAMSITE2
BRAMSITE2_X12Y0
RAMB16BWER RAMB16_X1Y0
RAMB8BWER RAMB8_X1Y0
RAMB8BWER RAMB8_X1Y1
IOI_INT
IOI_INT_X13Y0
TIEOFF TIEOFF_X22Y0
BIOI_OUTER_UNUSED
BIOI_OUTER_UNUSED_X13Y0
OLOGIC2 OLOGIC_X9Y0
IODELAY2 IODELAY_X9Y0
ILOGIC2 ILOGIC_X9Y0
OLOGIC2 OLOGIC_X9Y1
IODELAY2 IODELAY_X9Y1
ILOGIC2 ILOGIC_X9Y1
TIEOFF TIEOFF_X23Y0
IOI_INT
IOI_INT_X14Y0
TIEOFF TIEOFF_X24Y0
BIOI_OUTER
BIOI_OUTER_X14Y0
OLOGIC2 OLOGIC_X10Y0
IODELAY2 IODELAY_X10Y0
ILOGIC2 ILOGIC_X10Y0
OLOGIC2 OLOGIC_X10Y1
IODELAY2 IODELAY_X10Y1
ILOGIC2 ILOGIC_X10Y1
TIEOFF TIEOFF_X25Y0
IOI_INT
IOI_INT_X15Y0
TIEOFF TIEOFF_X26Y0
BIOI_OUTER
BIOI_OUTER_X15Y0
OLOGIC2 OLOGIC_X11Y0
IODELAY2 IODELAY_X11Y0
ILOGIC2 ILOGIC_X11Y0
OLOGIC2 OLOGIC_X11Y1
IODELAY2 IODELAY_X11Y1
ILOGIC2 ILOGIC_X11Y1
TIEOFF TIEOFF_X27Y0
INT
INT_X16Y0
TIEOFF TIEOFF_X28Y0
CLEXL
CLEXL_X16Y0
SLICEL SLICE_X24Y0
SLICEX SLICE_X25Y0
IOI_INT
IOI_INT_X17Y0
TIEOFF TIEOFF_X29Y0
BIOI_OUTER
BIOI_OUTER_X17Y0
OLOGIC2 OLOGIC_X12Y0
IODELAY2 IODELAY_X12Y0
ILOGIC2 ILOGIC_X12Y0
OLOGIC2 OLOGIC_X12Y1
IODELAY2 IODELAY_X12Y1
ILOGIC2 ILOGIC_X12Y1
TIEOFF TIEOFF_X30Y0
IOI_INT
IOI_INT_X18Y0
TIEOFF TIEOFF_X31Y0
BIOI_OUTER
BIOI_OUTER_X18Y0
OLOGIC2 OLOGIC_X13Y0
IODELAY2 IODELAY_X13Y0
ILOGIC2 ILOGIC_X13Y0
OLOGIC2 OLOGIC_X13Y1
IODELAY2 IODELAY_X13Y1
ILOGIC2 ILOGIC_X13Y1
TIEOFF TIEOFF_X32Y0
NULL
NULL_X44Y2
REG_V_BRK
REG_V_BRK_X18Y0
IOI_INT
IOI_INT_X19Y0
TIEOFF TIEOFF_X34Y0
BIOI_OUTER
BIOI_OUTER_X19Y0
OLOGIC2 OLOGIC_X14Y0
IODELAY2 IODELAY_X14Y0
ILOGIC2 ILOGIC_X14Y0
OLOGIC2 OLOGIC_X14Y1
IODELAY2 IODELAY_X14Y1
ILOGIC2 ILOGIC_X14Y1
TIEOFF TIEOFF_X35Y0
IOI_INT
IOI_INT_X20Y0
TIEOFF TIEOFF_X36Y0
BIOI_OUTER
BIOI_OUTER_X20Y0
OLOGIC2 OLOGIC_X15Y0
IODELAY2 IODELAY_X15Y0
ILOGIC2 ILOGIC_X15Y0
OLOGIC2 OLOGIC_X15Y1
IODELAY2 IODELAY_X15Y1
ILOGIC2 ILOGIC_X15Y1
TIEOFF TIEOFF_X37Y0
INT
INT_X21Y0
TIEOFF TIEOFF_X38Y0
CLEXM
CLEXM_X21Y0
SLICEM SLICE_X34Y0
SLICEX SLICE_X35Y0
IOI_INT
IOI_INT_X22Y0
TIEOFF TIEOFF_X39Y0
BIOI_OUTER
BIOI_OUTER_X22Y0
OLOGIC2 OLOGIC_X16Y0
IODELAY2 IODELAY_X16Y0
ILOGIC2 ILOGIC_X16Y0
OLOGIC2 OLOGIC_X16Y1
IODELAY2 IODELAY_X16Y1
ILOGIC2 ILOGIC_X16Y1
TIEOFF TIEOFF_X40Y0
IOI_INT
IOI_INT_X23Y0
TIEOFF TIEOFF_X41Y0
BIOI_OUTER
BIOI_OUTER_X23Y0
OLOGIC2 OLOGIC_X17Y0
IODELAY2 IODELAY_X17Y0
ILOGIC2 ILOGIC_X17Y0
OLOGIC2 OLOGIC_X17Y1
IODELAY2 IODELAY_X17Y1
ILOGIC2 ILOGIC_X17Y1
TIEOFF TIEOFF_X42Y0
IOI_INT
IOI_INT_X24Y0
TIEOFF TIEOFF_X43Y0
BIOI_OUTER
BIOI_OUTER_X24Y0
OLOGIC2 OLOGIC_X18Y0
IODELAY2 IODELAY_X18Y0
ILOGIC2 ILOGIC_X18Y0
OLOGIC2 OLOGIC_X18Y1
IODELAY2 IODELAY_X18Y1
ILOGIC2 ILOGIC_X18Y1
TIEOFF TIEOFF_X44Y0
INT_BRAM_BRK
INT_BRAM_BRK_X25Y0
TIEOFF TIEOFF_X45Y0
INT_INTERFACE
INT_INTERFACE_X25Y0
BRAMSITE2
BRAMSITE2_X25Y0
RAMB16BWER RAMB16_X2Y0
RAMB8BWER RAMB8_X2Y0
RAMB8BWER RAMB8_X2Y1
IOI_INT
IOI_INT_X26Y0
TIEOFF TIEOFF_X46Y0
BIOI_OUTER
BIOI_OUTER_X26Y0
OLOGIC2 OLOGIC_X19Y0
IODELAY2 IODELAY_X19Y0
ILOGIC2 ILOGIC_X19Y0
OLOGIC2 OLOGIC_X19Y1
IODELAY2 IODELAY_X19Y1
ILOGIC2 ILOGIC_X19Y1
TIEOFF TIEOFF_X47Y0
IOI_INT
IOI_INT_X27Y0
TIEOFF TIEOFF_X48Y0
BIOI_OUTER
BIOI_OUTER_X27Y0
OLOGIC2 OLOGIC_X20Y0
IODELAY2 IODELAY_X20Y0
ILOGIC2 ILOGIC_X20Y0
OLOGIC2 OLOGIC_X20Y1
IODELAY2 IODELAY_X20Y1
ILOGIC2 ILOGIC_X20Y1
TIEOFF TIEOFF_X49Y0
INT
INT_X28Y0
TIEOFF TIEOFF_X50Y0
CLEXL
CLEXL_X28Y0
SLICEL SLICE_X46Y0
SLICEX SLICE_X47Y0
IOI_INT
IOI_INT_X29Y0
TIEOFF TIEOFF_X51Y0
BIOI_OUTER
BIOI_OUTER_X29Y0
OLOGIC2 OLOGIC_X21Y0
IODELAY2 IODELAY_X21Y0
ILOGIC2 ILOGIC_X21Y0
OLOGIC2 OLOGIC_X21Y1
IODELAY2 IODELAY_X21Y1
ILOGIC2 ILOGIC_X21Y1
TIEOFF TIEOFF_X52Y0
IOI_INT
IOI_INT_X30Y0
TIEOFF TIEOFF_X53Y0
BIOI_OUTER
BIOI_OUTER_X30Y0
OLOGIC2 OLOGIC_X22Y0
IODELAY2 IODELAY_X22Y0
ILOGIC2 ILOGIC_X22Y0
OLOGIC2 OLOGIC_X22Y1
IODELAY2 IODELAY_X22Y1
ILOGIC2 ILOGIC_X22Y1
TIEOFF TIEOFF_X54Y0
INT_BRK
INT_X31Y0
TIEOFF TIEOFF_X55Y0
INT_INTERFACE
INT_INTERFACE_X31Y0
MACCSITE2
MACCSITE2_X31Y0
DSP48A1 DSP48_X1Y0
IOI_INT
IOI_INT_X32Y0
TIEOFF TIEOFF_X56Y0
BIOI_OUTER
BIOI_OUTER_X32Y0
OLOGIC2 OLOGIC_X23Y0
IODELAY2 IODELAY_X23Y0
ILOGIC2 ILOGIC_X23Y0
OLOGIC2 OLOGIC_X23Y1
IODELAY2 IODELAY_X23Y1
ILOGIC2 ILOGIC_X23Y1
TIEOFF TIEOFF_X57Y0
IOI_INT
IOI_INT_X33Y0
TIEOFF TIEOFF_X58Y0
BIOI_OUTER
BIOI_OUTER_X33Y0
OLOGIC2 OLOGIC_X24Y0
IODELAY2 IODELAY_X24Y0
ILOGIC2 ILOGIC_X24Y0
OLOGIC2 OLOGIC_X24Y1
IODELAY2 IODELAY_X24Y1
ILOGIC2 ILOGIC_X24Y1
TIEOFF TIEOFF_X59Y0
INT_BRAM_BRK
INT_BRAM_BRK_X34Y0
TIEOFF TIEOFF_X60Y0
INT_INTERFACE
INT_INTERFACE_X34Y0
BRAMSITE2
BRAMSITE2_X34Y0
RAMB16BWER RAMB16_X3Y0
RAMB8BWER RAMB8_X3Y0
RAMB8BWER RAMB8_X3Y1
IOI_INT
IOI_INT_X35Y0
TIEOFF TIEOFF_X61Y0
BIOI_OUTER
BIOI_OUTER_X35Y0
OLOGIC2 OLOGIC_X25Y0
IODELAY2 IODELAY_X25Y0
ILOGIC2 ILOGIC_X25Y0
OLOGIC2 OLOGIC_X25Y1
IODELAY2 IODELAY_X25Y1
ILOGIC2 ILOGIC_X25Y1
TIEOFF TIEOFF_X62Y0
IOI_INT
IOI_INT_X36Y0
TIEOFF TIEOFF_X63Y0
BIOI_OUTER
BIOI_OUTER_X36Y0
OLOGIC2 OLOGIC_X26Y0
IODELAY2 IODELAY_X26Y0
ILOGIC2 ILOGIC_X26Y0
OLOGIC2 OLOGIC_X26Y1
IODELAY2 IODELAY_X26Y1
ILOGIC2 ILOGIC_X26Y1
TIEOFF TIEOFF_X64Y0
INT
INT_X37Y0
TIEOFF TIEOFF_X65Y0
LR_LOWER
LR_LOWER_X37Y0
ICAP ICAP_X0Y0
SPI_ACCESS SPI_ACCESS
OCT_CALIBRATE OCT_CAL_X1Y0
MCB_INT
MCB_INT_X37Y0
CNR_TR_RTERM
CNR_TR_RTERM_X88Y2
NULL
NULL_X89Y2
NULL
NULL_X0Y1
NULL
NULL_X1Y1
CNR_BR_BTERM
CNR_BR_BTERM_X2Y1
IOI_PCI_CE_LEFT
IOI_PCI_CE_LEFT_X2Y1
MCB_CNR_TOP
MCB_CNR_TOP_X2Y1
IOI_BTERM
IOI_BTERM_X5Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X6Y1
IOI_BTERM
IOI_BTERM_X7Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X8Y1
RAMB_BOT_BTERM
RAMB_BOT_BTERM_X9Y1
BRAM_INTER_BTERM
BRAM_INTER_BTERM_X10Y1
BRAM_BOT_BTERM_L
BRAM_BOT_BTERM_L_X11Y1
IOI_BTERM
IOI_BTERM_X12Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X13Y1
IOI_BTERM
IOI_BTERM_X14Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X15Y1
DSP_INT_BTERM
DSP_INT_BTERM_X16Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X17Y1
DSP_BOT_BTERM_L
DSP_BOT_BTERM_L_X18Y1
IOI_BTERM
IOI_BTERM_X19Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X20Y1
IOI_BTERM
IOI_BTERM_X21Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X22Y1
CLB_INT_BTERM
CLB_INT_BTERM_X23Y1
CLB_EMP_BTERM
CLB_EMP_BTERM_X24Y1
IOI_BTERM
IOI_BTERM_X25Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X26Y1
IOI_BTERM
IOI_BTERM_X27Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X28Y1
RAMB_BOT_BTERM
RAMB_BOT_BTERM_X29Y1
BRAM_INTER_BTERM
BRAM_INTER_BTERM_X30Y1
BRAM_BOT_BTERM_L
BRAM_BOT_BTERM_L_X31Y1
IOI_BTERM
IOI_BTERM_X32Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X33Y1
IOI_BTERM
IOI_BTERM_X34Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X35Y1
IOI_BTERM
IOI_BTERM_X36Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X37Y1
CLB_INT_BTERM
CLB_INT_BTERM_X38Y1
CLB_EMP_BTERM
CLB_EMP_BTERM_X39Y1
IOI_BTERM
IOI_BTERM_X40Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X41Y1
IOI_BTERM
IOI_BTERM_X42Y1
IOI_BTERM_REGB
IOI_BTERM_REGB_X43Y1
REG_B_BTERM
REG_B_BTERM_X44Y1
REG_V_BTERM
REG_V_BTERM_X45Y1
IOI_BTERM_BUFPLL
IOI_BTERM_BUFPLL_X46Y1
IOI_BTERM_REGB
IOI_BTERM_REGB_X47Y1
IOI_BTERM
IOI_BTERM_X48Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X49Y1
CLB_INT_BTERM
CLB_INT_BTERM_X50Y1
CLB_EMP_BTERM
CLB_EMP_BTERM_X51Y1
IOI_BTERM
IOI_BTERM_X52Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X53Y1
IOI_BTERM
IOI_BTERM_X54Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X55Y1
IOI_BTERM
IOI_BTERM_X56Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X57Y1
RAMB_BOT_BTERM
RAMB_BOT_BTERM_X58Y1
BRAM_INTER_BTERM
BRAM_INTER_BTERM_X59Y1
BRAM_BOT_BTERM_R
BRAM_BOT_BTERM_R_X60Y1
IOI_BTERM
IOI_BTERM_X61Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X62Y1
IOI_BTERM
IOI_BTERM_X63Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X64Y1
CLB_INT_BTERM
CLB_INT_BTERM_X65Y1
CLB_EMP_BTERM
CLB_EMP_BTERM_X66Y1
IOI_BTERM
IOI_BTERM_X67Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X68Y1
IOI_BTERM
IOI_BTERM_X69Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X70Y1
DSP_INT_BTERM
DSP_INT_BTERM_X71Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X72Y1
DSP_BOT_BTERM_R
DSP_BOT_BTERM_R_X73Y1
IOI_BTERM
IOI_BTERM_X74Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X75Y1
IOI_BTERM
IOI_BTERM_X76Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X77Y1
RAMB_BOT_BTERM
RAMB_BOT_BTERM_X78Y1
BRAM_INTER_BTERM
BRAM_INTER_BTERM_X79Y1
BRAM_BOT_BTERM_R
BRAM_BOT_BTERM_R_X80Y1
IOI_BTERM
IOI_BTERM_X81Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X82Y1
IOI_BTERM
IOI_BTERM_X83Y1
IOI_BTERM_CLB
IOI_BTERM_CLB_X84Y1
CNR_BR_BTERM
CNR_BR_BTERM_X85Y1
IOI_PCI_CE_RIGHT
IOI_PCI_CE_RIGHT_X85Y1
MCB_CNR_TOP
MCB_CNR_TOP_X85Y1
NULL
NULL_X88Y1
NULL
NULL_X89Y1
NULL
NULL_X0Y0
NULL
NULL_X1Y0
NULL
NULL_X2Y0
NULL
NULL_X3Y0
NULL
NULL_X4Y0
BIOB
BIOB_X1Y0
IOBS AA3
IOBM Y4
IOBS U6
IOBM T7
NULL
NULL_X6Y0
BIOB
BIOB_X2Y0
IOBS AB4
IOBM AA4
IOBS AB5
IOBM Y5
NULL
NULL_X8Y0
RAMB_BOT
RAMB_BOT_X3Y0
BRAM_INT_INTERFACE_BOT
BRAM_INT_INTERFACE_BOT_X3Y0
BRAM_BOT
BRAM_BOT_X3Y0
BIOB
BIOB_X4Y0
IOBS Y6
IOBM W6
IOBS R8
IOBM R9
NULL
NULL_X13Y0
BIOB
BIOB_X5Y0
IOBS W8
IOBM V7
IOBS U8
IOBM T8
NULL
NULL_X15Y0
DSP_INT_EMP_BOT
DSP_INT_EMP_BOT_X6Y0
DSP_EMP_TEMP
DSP_EMP_TEMP_X6Y0
DSP_EMP_BOT
DSP_EMP_BOT_X6Y0
BIOB
BIOB_X7Y0
IOBS PAD242
IOBM PAD241
IOBS PAD240
IOBM PAD239
NULL
NULL_X20Y0
BIOB
BIOB_X8Y0
IOBS PAD238
IOBM PAD237
IOBS PAD236
IOBM PAD235
NULL
NULL_X22Y0
NULL
NULL_X23Y0
NULL
NULL_X24Y0
BIOB
BIOB_X10Y0
IOBS V9
IOBM U9
IOBS AB6
IOBM AA6
NULL
NULL_X26Y0
BIOB
BIOB_X11Y0
IOBS Y8
IOBM W9
IOBS AB7
IOBM Y7
NULL
NULL_X28Y0
RAMB_BOT
RAMB_BOT_X12Y0
BRAM_INT_INTERFACE_BOT
BRAM_INT_INTERFACE_BOT_X12Y0
BRAM_BOT
BRAM_BOT_X12Y0
BIOB_SINGLE
BIOB_SINGLE_X13Y0
IOBS U10
IOBM T10
NULL
NULL_X33Y0
BIOB
BIOB_X14Y0
IOBS AB8
IOBM AA8
IOBS Y10
IOBM W10
NULL
NULL_X35Y0
BIOB
BIOB_X15Y0
IOBS AB9
IOBM Y9
IOBS W11
IOBM V11
NULL
NULL_X37Y0
NULL
NULL_X38Y0
NULL
NULL_X39Y0
BIOB
BIOB_X17Y0
IOBS AB10
IOBM AA10
IOBS Y12
IOBM W12
NULL
NULL_X41Y0
BIOB
BIOB_X18Y0
IOBS AB11
IOBM Y11
IOBS AB12
IOBM AA12
NULL
NULL_X43Y0
REG_B
REG_B_X43Y0
BUFIO2 BUFIO2_X1Y6
BUFIO2FB BUFIO2FB_X1Y6
BUFIO2 BUFIO2_X1Y7
BUFIO2FB BUFIO2FB_X1Y7
BUFIO2FB BUFIO2FB_X1Y1
BUFIO2FB BUFIO2FB_X1Y0
BUFIO2 BUFIO2_X1Y0
BUFIO2 BUFIO2_X1Y1
BUFIO2FB BUFIO2FB_X3Y6
BUFIO2FB BUFIO2FB_X3Y7
BUFIO2 BUFIO2_X3Y6
BUFIO2 BUFIO2_X3Y7
TIEOFF TIEOFF_X35Y1
BUFIO2FB BUFIO2FB_X3Y1
BUFIO2 BUFIO2_X3Y0
BUFIO2 BUFIO2_X3Y1
BUFIO2FB BUFIO2FB_X3Y0
BUFPLL BUFPLL_X1Y0
BUFPLL_MCB BUFPLL_MCB_X1Y5
BUFPLL BUFPLL_X1Y1
NULL
NULL_X45Y0
BIOB
BIOB_X19Y0
IOBS AB13
IOBM Y13
IOBS U12
IOBM T12
NULL
NULL_X47Y0
BIOB
BIOB_X20Y0
IOBS U15
IOBM T15
IOBS T11
IOBM R11
NULL
NULL_X49Y0
NULL
NULL_X50Y0
NULL
NULL_X51Y0
BIOB
BIOB_X22Y0
IOBS AB15
IOBM Y15
IOBS Y14
IOBM W14
NULL
NULL_X53Y0
BIOB
BIOB_X23Y0
IOBS AB16
IOBM AA16
IOBS W13
IOBM V13
NULL
NULL_X55Y0
BIOB_SINGLE_ALT
BIOB_SINGLE_ALT_X24Y0
IOBS W15
IOBM Y16
NULL
NULL_X57Y0
RAMB_BOT
RAMB_BOT_X25Y0
BRAM_INT_INTERFACE_BOT
BRAM_INT_INTERFACE_BOT_X25Y0
BRAM_BOT
BRAM_BOT_X25Y0
BIOB
BIOB_X26Y0
IOBS U13
IOBM U14
IOBS AB17
IOBM Y17
NULL
NULL_X62Y0
BIOB
BIOB_X27Y0
IOBS AB18
IOBM AA18
IOBS AB19
IOBM Y19
NULL
NULL_X64Y0
NULL
NULL_X65Y0
NULL
NULL_X66Y0
BIOB
BIOB_X29Y0
IOBS T14
IOBM R13
IOBS PAD180
IOBM PAD179
NULL
NULL_X68Y0
BIOB
BIOB_X30Y0
IOBS PAD178
IOBM PAD177
IOBS PAD176
IOBM PAD175
NULL
NULL_X70Y0
DSP_INT_EMP_BOT
DSP_INT_EMP_BOT_X31Y0
DSP_EMP_TEMP
DSP_EMP_TEMP_X31Y0
DSP_EMP_BOT
DSP_EMP_BOT_X31Y0
BIOB
BIOB_X32Y0
IOBS PAD174
IOBM PAD173
IOBS PAD172
IOBM PAD171
NULL
NULL_X75Y0
BIOB
BIOB_X33Y0
IOBS AB14
IOBM AA14
IOBS Y18
IOBM W17
NULL
NULL_X77Y0
RAMB_BOT
RAMB_BOT_X34Y0
BRAM_INT_INTERFACE_BOT
BRAM_INT_INTERFACE_BOT_X34Y0
BRAM_BOT
BRAM_BOT_X34Y0
BIOB
BIOB_X35Y0
IOBS V15
IOBM U16
IOBS AB20
IOBM AA20
NULL
NULL_X82Y0
BIOB
BIOB_X36Y0
IOBS W18
IOBM V17
IOBS AA21
IOBM Y20
NULL
NULL_X84Y0
NULL
NULL_X85Y0
NULL
NULL_X86Y0
NULL
NULL_X87Y0
NULL
NULL_X88Y0
NULL
NULL_X89Y0